All Projects → janestreet → Hardcaml

janestreet / Hardcaml

Licence: mit
Hardcaml is an OCaml library for designing hardware.

Programming Languages

ocaml
1615 projects

"Hardcaml"

Hardcaml is an OCaml library for designing hardware.

  • Express hardware designs in OCaml
  • Make generic designs using higher order functions, lists, maps, functors...
  • Simulate designs in OCaml
  • Convert to VHDL, Verilog
  • Write new modules to transform or analyse circuits, or provide new backends

Install

$ opam install hardcaml ppx_deriving_hardcaml hardcaml_waveterm

Documentation

Related tools and libraries

  • Hardcaml_c - convert Hardcaml designs to C-based simulation models
  • Hardcaml_circuits - a library of useful/interesting Hardcaml designs
  • Hardcaml_fixed_point - fixed point arithmetic with rounding and overflow control
  • Hardcaml_of_verilog - read a verilog design into Hardcaml using Yosys
  • Hardcaml_step_testbench - monadic testbench api
  • Hardcaml_verify - verification tools for Hardcaml
  • Hardcaml_verilator - convert Hardcaml designs to very high speed simulation models
  • Hardcaml_waveterm - ASCII based digital waveforms
  • Hardcaml_xilinx - various Xilinx primitives wrapped with Hardcaml interfaces and simulation models
  • Hardcaml_xilinx_components - tool to read Xilinx unisim and xpm component definitions and generate Hardcaml interfaces
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].