All Projects → antonblanchard → ghdl-yosys-blink

antonblanchard / ghdl-yosys-blink

Licence: other
Blink an LED on an FPGA in VHDL using ghdl, yosys and nextpnr

Programming Languages

Makefile
30231 projects
VHDL
269 projects

ghdl-yosys-blink

Blink an LED on an FPGA using ghdl, yosys and nextpnr - a completely Open Source VHDL synthesis flow.

Supported Hardware

Right now only Lattice ECP5 boards are supported, but you should be able to use anything that yosys and nextpnr supports. I've personally tested the Lattice ECP5-EVN board and the OrangeCrab.

Prerequisites

You can install the latest versions of GHDL, ghdlsynth-beta, yosys, prjtrellis and nextpnr if you want, but thanks to the GHDL Docker project we have Docker images for everything!

It also works fine with podman if you prefer that to Docker.

Building

make
make prog
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].