All Projects → benreynwar → pyvivado

benreynwar / pyvivado

Licence: MIT License
Python tools for Vivado Projects

Programming Languages

python
139335 projects - #7 most used programming language
tcl
693 projects
VHDL
269 projects
shell
77523 projects

pyvivado

WARNING

It is unlikely this project will be maintained for long. fusesoc has very similar functionality, but also supports many more FPGA vendors. I'm trying to migrate my own work to use fusesoc instead of pyvivado. Future effort will go into improving fusesoc's support for vivado rather than improving this project.

SUMMARY

A python toolbox for automating vivado projects.

  • Automate generation of Vivado projects.
  • Communicate easily with FPGA-deployed modules from python.

REMOVED FUNCTIONALITY

Previously pyvivado contained functionality for generating HDL files and utilities for testing HDL code with python. This has now been split out into separate projects, or abandoned since other open-source tools were doing it better.

  • Dependency management is best handled using fusesoc.
  • Code generation is split off into fusesoc_generators.
  • Testbench generation, and python-based HDL testsing is split off into slvcodec.
  • Python tools for Axi4Lite interfaces are split off into axilent.
  • Unit testing of HDL is best handled using VUnit.
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].