All Projects → Xilinx → systemctlm-cosim-demo

Xilinx / systemctlm-cosim-demo

Licence: other
No description, website, or topics provided.

Programming Languages

C++
36643 projects - #6 most used programming language
Makefile
30231 projects
SystemVerilog
227 projects
Verilog
626 projects
c
50402 projects - #5 most used programming language
TLM-COSIM-DEMO
---------------------------------------
This contains a small SystemC/TLM-2.0 based subsystem for demo purposes.
It has an interconnect connecting a remote-port attached QEMU
instance, a demo/debug-device, a small DMA and if verilator is available
a timer. Note that the remote-port instance connecting to QEMU
can serve multiple QEMU instances. In fact, this demo can run
connected to the ZynqMP platform with 2 external QEMU instances
(APU/RPU and PMU). All of these instances can access the
TLM world.

The demo/debug-device is used to read out System-C time, output
debug trace/events and to end the simulation.

The DMA is used to demonstrate the bus mastering capabilities of the
TLM world back into QEMU via remote-port.

The timer is a minimalistic APB connected timer written in
verilog. If verilator is available, this timer can be hooked
into the simulation.
For TLM to be able to talk to the APB timer, a TLM-2-APB bridge
was written in System-C.

BUILD
---------------------------------------
Before building you will need to ensure that you have SystemC and SCML
available.

The demo was prepared assuming the following versions:
    SystemC: 2.3.2

The Makefile assumes that both are installed in the directories below:
    SystemC: /usr/local/systemc-2.3.2/

If you have them installed in different directories point the Makefile to the
correct directory by setting the variables SYSTEMC.

You will also need to clone the libremote-port submodule, by running:
    $ git submodule update --init libsystemctlm-soc

Once everything is installed you can just run make. If SystemC is in
a different directory then mentioned about you will need to specify the
directory by setting the variables mentioned above.

You can also configure the build by creating a .config.mk file.
There are other options that can be set, e.g:
    HAVE_VERILOG=n
    HAVE_VERILOG_VERILATOR=n
    HAVE_VERILOG_VCS=n

RUN
---------------------------------------
When running you will need to make sure the program can link to your
SystemC/TLM libraries. You will also need to give arguments to the application.
The first argument points to the QEMU machine-path to use. The second argument
is the icount value to use. The arguments should line up with the QEMU command
line arguments.

A Versal example:
In one terminal, in the demo directory
LD_LIBRARY_PATH=/usr/local/systemc-2.3.2/lib-linux64/ ./versal_demo \
    unix:/tmp/qemu/qemu-rport-_amba@0_cosim@0 10000

A ZynqMP example:
In one terminal, in the demo directory
LD_LIBRARY_PATH=/usr/local/systemc-2.3.2/lib-linux64/ ./zynqmp_demo \
    unix:./qemu-tmp/qemu-rport-_amba@0_cosim@0 10000

A Zynq-7000 example:
LD_LIBRARY_PATH=/usr/local/systemc-2.3.2/lib-linux64/ ./zynq_demo \
    unix:./qemu-tmp/qemu-rport-_cosim@0 1000000

In another terminal you will need to start up the PS. In this case we are going
to start up a PetaLinux QEMU session and use the Linux kernel to probe the
SystemC side. You could also start up your own kernel with the required drivers
or a baremetal application.

See here for instructions on how to start the PetaLinux QEMU session:
http://www.wiki.xilinx.com/Co-simulation

IP-XACT DEMO
---------------------------------------
This repository also contains a demo where a QEMU / SystemC co-simulation
is automatically generated from an IP-XACT description. More information
about this demo and instructions for how to launch it manually can be
found inside the 'zynqmp_lmac2_ipxact_demo.txt' file.
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].