Top 626 Verilog open source projects

3. E200 opensource
This repository hosts the project for open-source hummingbird E203 RISC processor Core.
4. Yosys
Yosys Open SYnthesis Suite
5. Picorv32
PicoRV32 - A Size-Optimized RISC-V CPU
6. Ustc Course
❤️中国科学技术大学课程资源
7. John
John the Ripper jumbo - advanced offline password cracker, which supports hundreds of hash and cipher types, and runs on many operating systems, CPUs, GPUs, and even some FPGAs
8. Zju Icicles
浙江大学课程攻略共享计划
9. Tinygrad
You like pytorch? You like micrograd? You love tinygrad! ❤️
10. Rekcarc Tsc Uht
清华大学计算机系课程攻略 Guidance for courses in Department of Computer Science and Technology, Tsinghua University
11. first-fpga-pcb
FPGA dev board based on Lattice iCE40 8k
12. NAND-Flash-Memory-Controller-verification
No description, website, or topics provided.
14. ynot
The Ynot Project source code.
15. caravel mpw-one
Caravel is a standard SoC hardness with on chip resources to control and read/write operations from a user-dedicated space.
16. FPGA lecture
Source Codes for a lecture entitled "Parallel and Reconfigurable VLSI Computing" in Tokyo Tech.
17. second order sigma delta DAC
A comparison of 1st and 2nd order sigma delta DAC for FPGA
18. MCU
D startup- and library-files for ARM Cortex-M Microcontrollers
19. blarney
Haskell library for hardware description
20. difuzz-rtl
No description, website, or topics provided.
21. rc-fpga-zcu
Port fpga-zynq (rocket-chip) to Xilinx ZYNQ Ultrascale+ board (ZCU102)
22. zturn-stuff
Various files for the MYiR ZTurn Zynq 7010 board
24. vlsistuff
ideas and eda software for vlsi design
25. MS108-2020
No description, website, or topics provided.
27. activecore
Hardware generation library based on "Kernel IP" (KIP) cores: programmable execution kernels inferred from microarchitectural templates
28. CAT-Board
The CAT Board is a Raspberry Pi HAT with a Lattice iCE40HX FPGA.
29. sdr
A basic Soft(Gate)ware Defined Radio architecture
30. cpus-pdp8
FPGA based PDP-8/i clone in verilog. Includes several TSS/8 sources and utiltities to build from source
31. NetFPGA-10G-UPB-OpenFlow
An OpenFlow implementation for the NetFPGA-10G card
32. fuxedo
An Open Source alternative to Oracle Tuxedo
33. cocotbext-axi
AXI interface modules for Cocotb
34. BebiChiken
No description, website, or topics provided.
35. SIMD-architecture
Overall multi-core SIMD microarchitecture
✭ 23
VerilogCoq
36. lwaes isa
[HISTORICAL] A Lightweight (RISC-V) ISA Extension for AES and SM4
37. proxmark3-amiimicyou
Proxmark3 Amiibo simulator as shown at Recon Montreal 2018
38. KS-1Q
Opensat first generation cubesat bus, launch into orbit at 2016/11/11
39. FPGA-TX
FPGA based transmitter
40. CPU
Verilog实现的简单五级流水线CPU,开发平台:Nexys3
✭ 24
Verilog
41. OV7670-Verilog
Verilog modules required to get the OV7670 camera working
✭ 38
Verilog
45. systemctlm-cosim-demo
No description, website, or topics provided.
46. schoolMIPS
CPU microarchitecture, step by step
47. mantle
mantle library
48. hgdb
Hardware generator debugger
49. schoolRISCV
CPU microarchitecture, step by step
50. fpga ip
OscillatorIMP ecosystem FPGA IP sources
1-50 of 626 Verilog projects