All Projects → dalance → Svlint

dalance / Svlint

Licence: mit
SystemVerilog linter

Programming Languages

rust
11053 projects

Projects that are alternatives of or similar to Svlint

Detekt
Static code analysis for Kotlin
Stars: ✭ 4,169 (+3947.57%)
Mutual labels:  linter, lint
Lockfile Lint
Lint an npm or yarn lockfile to analyze and detect security issues
Stars: ✭ 411 (+299.03%)
Mutual labels:  linter, lint
Linter
Linter for Dart.
Stars: ✭ 372 (+261.17%)
Mutual labels:  linter, lint
gandalf-lint
Bad Code Shall Not Pass
Stars: ✭ 29 (-71.84%)
Mutual labels:  lint, linter
Redbot
REDbot is lint for HTTP.
Stars: ✭ 475 (+361.17%)
Mutual labels:  linter, lint
Reviewdog
🐶 Automated code review tool integrated with any code analysis tools regardless of programming language
Stars: ✭ 4,541 (+4308.74%)
Mutual labels:  linter, lint
Gitlint
Linting for your git commit messages
Stars: ✭ 404 (+292.23%)
Mutual labels:  linter, lint
JSONCustomLintr
Library to allow creation, running, and reporting of custom lint rules for JSON files
Stars: ✭ 19 (-81.55%)
Mutual labels:  lint, linter
Gradle Lint Plugin
A pluggable and configurable linter tool for identifying and reporting on patterns of misuse or deprecations in Gradle scripts.
Stars: ✭ 473 (+359.22%)
Mutual labels:  linter, lint
Ktlint
An anti-bikeshedding Kotlin linter with built-in formatter
Stars: ✭ 4,629 (+4394.17%)
Mutual labels:  linter, lint
elint
A easy way to lint your code
Stars: ✭ 38 (-63.11%)
Mutual labels:  lint, linter
Stylelint
A mighty, modern linter that helps you avoid errors and enforce conventions in your styles.
Stars: ✭ 9,350 (+8977.67%)
Mutual labels:  linter, lint
selective
Statically find HTML anti patterns using CSS Selectors
Stars: ✭ 15 (-85.44%)
Mutual labels:  lint, linter
Exakat
The Exakat Engine : smart static analysis for PHP
Stars: ✭ 346 (+235.92%)
Mutual labels:  linter, lint
Format.cmake
💅 Stylize your code! Automatic clang-format and cmake-format targets for CMake.
Stars: ✭ 94 (-8.74%)
Mutual labels:  lint, linter
Awesome Lint
Linter for Awesome lists
Stars: ✭ 385 (+273.79%)
Mutual labels:  linter, lint
ue5-style-guide
An attempt to make Unreal Engine 4 projects more consistent
Stars: ✭ 2,892 (+2707.77%)
Mutual labels:  lint, linter
eslint-config
An ESLint shareable config that I used in my projects
Stars: ✭ 15 (-85.44%)
Mutual labels:  lint, linter
Checkmake
experimental linter/analyzer for Makefiles
Stars: ✭ 420 (+307.77%)
Mutual labels:  linter, lint
Phplint
🐛 A tool that can speed up linting of php files by running several lint processes at once.
Stars: ✭ 646 (+527.18%)
Mutual labels:  linter, lint

svlint

SystemVerilog linter

Actions Status codecov

Crates.io svlint

svlint

Installation

Download binary

Download from release page, and extract to the directory in PATH.

snapcraft

You can install from snapcraft

sudo snap install svlint

Cargo

You can install by cargo.

cargo install svlint

Usage

Configuration

First of all, you must put a configuration file .svlint.toml to specify enabled rules. Configuration file is searched to the upper directory until /. So you can put configuration file (.svlint.toml) on the repository root like .gitignore.

The example of configuration file is below:

[option]
exclude_paths = ["ip/.*"]

[rules]
non_ansi_module = true
wire_reg = true

The complete example can be generated by svlint --example

[option] section

exclude_paths is a list of regular expression. If a file path is matched with the list, the file is skipped to check.

[rules] section

By default, all rules are disabled. If you want to enable some rules, true can be specified.

Configuration update

If svlint is updated, .svlint.toml can be updated to the latest version by svlint --update.

Rules

All rules are here. Suggesting a new rule through Issues or Pull requests is welcome.

Plugin

svlint supports rule plugin. A sample project is below:

https://github.com/dalance/svlint-plugin-sample

Filelist

svlint supports filelist like major EDA tools. The following features are supported.

  • Substitute environment variables
  • Specify include directories by +incdir
  • Define Verilog define by +define
  • Include other filelists by -f

An example is below:

xxx.sv
${XXX_DIR}/yyy.sv
$(XXX_DIR)/zzz.sv
+incdir+$(PWD)/header/src
+define+SYNTHESIS
-f other.f

Option

svlint 0.2.12

USAGE:
    svlint [FLAGS] [OPTIONS] <files>...

FLAGS:
        --example    Prints config example
    -h, --help       Prints help information
    -s, --silent     Suppresses message
    -1               Prints results by single line
        --update     Updates config
    -V, --version    Prints version information
    -v, --verbose    Prints verbose message

OPTIONS:
    -c, --config <config>           Config file [default: .svlint.toml]
    -d, --define <defines>...       Define
    -f, --filelist <filelist>...    File list
    -i, --include <includes>...     Include path
    -p, --plugin <plugins>...       Plugin file

ARGS:
    <files>...    Source file
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].