All Categories → Hardware → verilog

Top 342 verilog open source projects

Basic verilog
Must-have verilog systemverilog modules
Project Zipline
Defines a lossless compressed data format that is independent of CPU type, operating system, file system, and character set, and is suitable for compression using the XP10 algorithm.
✭ 247
verilog
Fpga Litecoin Miner
A litecoin scrypt miner implemented with FPGA on-chip memory.
✭ 245
verilog
Convolution network on fpga
CNN acceleration on virtex-7 FPGA with verilog HDL
Spispy
An open source SPI flash emulator and monitor
✭ 220
verilog
Zet
Open source implementation of a x86 processor
✭ 216
verilog
Fpu
synthesiseable ieee 754 floating point library in verilog
✭ 214
verilog
Opentimer
A High-performance Timing Analysis Tool for VLSI Systems
Flute
RISC-V CPU, simple 5-stage in-order pipeline, for low-end applications needing MMUs and some performance
✭ 210
verilog
Litepcie
Small footprint and configurable PCIe core
✭ 206
verilog
Biriscv
32-bit Superscalar RISC-V CPU
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Verilog 6502
A Verilog HDL model of the MOS 6502 CPU
✭ 200
verilog
Fpga nes
FPGA-based Nintendo Entertainment System Emulator
✭ 199
verilog
Piccolo
RISC-V CPU, simple 3-stage pipeline, for low-end applications (e.g., embedded, IoT)
✭ 200
verilog
Ridecore
RIDECORE (RIsc-v Dynamic Execution CORE) is an Out-of-Order RISC-V processor written in Verilog HDL.
✭ 199
verilog
Verilog Generator Of Neural Net Digit Detector For Fpga
Verilog Generator of Neural Net Digit Detector for FPGA
✭ 187
verilogfpga
Basejump stl
BaseJump STL: A Standard Template Library for SystemVerilog
✭ 179
verilog
Openwifi Hw
FPGA/hardware design of openwifi
Wb2axip
Bus bridges and other odds and ends
Fpga
The USRP™ Hardware Driver FPGA Repository
✭ 176
verilog
Accdnn
A compiler from AI model to RTL (Verilog) accelerator in FPGA hardware with auto design space exploration.
✭ 175
verilog
Verilog Uart
Verilog UART
✭ 174
verilog
Step into mips
一步一步写MIPS CPU
✭ 174
verilog
Tinyfpga B Series
Open source design files for the TinyFPGA B-Series boards.
✭ 173
verilog
Kestrel
The Kestrel is a family of home-made computers, built as much as possible on open-source technology, and supporting as much as possible the open-source philosophy.
Verilog I2c
Verilog I2C interface for FPGA implementation
✭ 171
verilog
Poprc
A Compiler for the Popr Language
Sv Parser
SystemVerilog parser library fully complient with IEEE 1800-2017
Sdram Controller
Verilog SDRAM memory controller
✭ 169
verilog
Fpga Chip8
CHIP-8 console on FPGA
✭ 169
verilogfpga
Metroboy
MetroBoy - A playable, circuit-level simulation of an entire Game Boy
Kryon
FPGA,Verilog,Python
✭ 169
verilog
Fpga readings
Recipe for FPGA cooking
Cnn Fpga
使用Verilog实现的CNN模块,可以方便的在FPGA项目中使用
✭ 160
verilog
Sha256
Hardware implementation of the SHA-256 cryptographic hash function
✭ 160
verilog
Fpg1
PDP-1 FPGA implementation in Verilog, with CRT, Teletype and Console.
✭ 159
verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Sv2v
SystemVerilog to Verilog conversion
Learning Nvdla Notes
NVDLA is an Open source DL/ML accelerator, which is very suitable for individuals or college students. This is the NOTES when I learn and try. Hope THIS PAGE may Helps you a bit. Contact Me:[email protected]
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Scale Mamba
Repository for the SCALE-MAMBA MPC system
✭ 147
verilog
Slang
SystemVerilog compiler and language services
Ice40 Playground
Various iCE40 cores / projects to play around with (mostly targeted at the icebreaker)
✭ 141
verilog
Chisel3
Chisel 3: A Modern Hardware Design Language
Simplevout
A Simple FPGA Core for Creating VGA/DVI/HDMI/OpenLDI Signals
✭ 139
verilog
Icesugar
iCESugar FPGA Board (base on iCE40UP5k)
✭ 139
verilog
Openfpgaduino
All open source file and project for OpenFPGAduino project
E200 opensource
This repository hosts the project for open-source hummingbird E203 RISC processor Core.
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Openofdm
Sythesizable, modular Verilog implementation of 802.11 OFDM decoder.
✭ 135
verilog
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Wbuart32
A simple, basic, formally verified UART controller
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Fpga Peripherals
🌱 ❄️ Collection of open-source peripherals in Verilog
✭ 130
verilog
Fpga based cnn
FPGA based acceleration of Convolutional Neural Networks. The project is developed by Verilog for Altera DE5 Net platform.
✭ 129
verilog
Nandland
All code found on nandland is here. underconstruction.gif
✭ 128
verilog
Milkymist
SoC design for Milkymist One - LM32, DDR SDRAM, 2D TMU, PFPU
✭ 127
verilog
1-60 of 342 verilog projects