All Projects → mshr-h → Vscode Verilog Hdl Support

mshr-h / Vscode Verilog Hdl Support

Licence: mit
Verilog HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code

Programming Languages

typescript
32286 projects

Projects that are alternatives of or similar to Vscode Verilog Hdl Support

Svn Scm
SVN support for VS Code
Stars: ✭ 172 (+43.33%)
Mutual labels:  hacktoberfest, vscode
Vetur
Vue tooling for VS Code.
Stars: ✭ 5,421 (+4417.5%)
Mutual labels:  hacktoberfest, vscode
T Rec Rs
Blazingly fast terminal recorder that generates animated gif images for the web written in rust
Stars: ✭ 361 (+200.83%)
Mutual labels:  hacktoberfest, vscode
Vscode Stylelint
Official Visual Studio Code extension to lint CSS/SCSS/Less with stylelint
Stars: ✭ 141 (+17.5%)
Mutual labels:  hacktoberfest, vscode
Hdl
HDL libraries and projects
Stars: ✭ 727 (+505.83%)
Mutual labels:  hacktoberfest, verilog
Elm Language Client Vscode
Improving your Elm experience since 2019
Stars: ✭ 162 (+35%)
Mutual labels:  hacktoberfest, vscode
Themer
themer is inspired by trevordmiller/nova and chriskempson/base16.
Stars: ✭ 4,483 (+3635.83%)
Mutual labels:  hacktoberfest, vscode
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (+220.83%)
Mutual labels:  hacktoberfest, verilog
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (+463.33%)
Mutual labels:  verilog, vscode
Kotlin Language Server
Intelligent Kotlin support for any editor/IDE using the Language Server Protocol
Stars: ✭ 650 (+441.67%)
Mutual labels:  hacktoberfest, vscode
Vscode Emacs Mcx
Awesome Emacs Keymap - VSCode emacs keybinding with multi cursor support
Stars: ✭ 135 (+12.5%)
Mutual labels:  hacktoberfest, vscode
Dotfiles
Awesome personal dotfiles
Stars: ✭ 99 (-17.5%)
Mutual labels:  hacktoberfest, vscode
Doxdocgen
Generate doxygen documentation from source code in VS Code
Stars: ✭ 127 (+5.83%)
Mutual labels:  hacktoberfest, vscode
Nim
Streamline Your Node.js Debugging Workflow with Chromium (Chrome, Edge, More) DevTools.
Stars: ✭ 168 (+40%)
Mutual labels:  hacktoberfest, vscode
Cobalt2 Vscode
Cobalt2 Theme for VS Code
Stars: ✭ 632 (+426.67%)
Mutual labels:  hacktoberfest, vscode
Css Flexbox Cheatsheet
VS Code extension that lets you open a CSS Flexbox cheatsheet directly in the editor.
Stars: ✭ 87 (-27.5%)
Mutual labels:  hacktoberfest, vscode
Vscode Mermaid Preview
Previews Mermaid diagrams
Stars: ✭ 111 (-7.5%)
Mutual labels:  hacktoberfest, vscode
Sudoku Solver
GUI Sudoku Solver using Pygame
Stars: ✭ 120 (+0%)
Mutual labels:  hacktoberfest
Customizer
Kanboard - Customizer adds GUI for logo, favicon and themes
Stars: ✭ 120 (+0%)
Mutual labels:  hacktoberfest
Pythonalgorithms
All Algorithms implemented in Python 3
Stars: ✭ 120 (+0%)
Mutual labels:  hacktoberfest

Verilog-HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code

Verilog-HDL, SystemVerilog and Bluespec SystemVerilog support for VS Code with Syntax Highlighting, Snippets, Linting and much more!

Build Status

sample

Installation

Install it from VS Code Marketplace

Features

Done

  • Syntax Highlighting
    • Verilog-HDL
    • SystemVerilog
    • Bluespec SystemVerilog
    • Vivado UCF constraints
    • Synopsys Design Constraints
  • Simple Snippets
  • Linting support from:
    • Icarus Verilog - iverilog
    • Vivado Logical Simulation - xvlog
    • Modelsim - modelsim
    • Verilator - verilator
  • Ctags Integration
    • Autocomplete
    • Document Symbols Outline
    • Hover over variable declaration
    • Go to Definition & Peek Definition
    • Module Instantiation

In Progress / Future

  • Language Server support
    • Currently only supports svls
  • Improvements in the newly added features

Take a look at our list of planned features

Ctags Integration

This extension uses the tags created using Ctags to provide many of its features. It is recommended to use Universal Ctags as it supports SystemVerilog also, compared to Exuberant Ctags and other older versions. The tags are stored in memory and not as separate files.

Installation of Universal Ctags

  • Windows - Daily builds are available at ctags-win32
  • Linux - Installation instructions are here
  • macOS - Install through Homebrew from here

Add the installation path of Ctags binary in your PATH environment variable or mention it in verilog.ctags.path setting.

Configuration Settings

Use the following settings to configure the extension to your needs

  • verilog.linting.linter (Default: none )

    Choose the linter for you. Possible values are

    • iverilog
    • xvlog
    • modelsim
    • verilator
    • none
  • verilog.linting.iverilog.arguments (Default: nothing)

    Add custom arguments to Icarus Verilog for linting, like -Wall . The argument -t null will be added by the linter automatically.

  • verilog.linting.iverilog.runAtFileLocation (Default: false )

    By default, the linter will be run at the workspace directory. Enable this option to run at the file location. If enabled, `include directives should contain file paths relative to the current file.

  • verilog.linting.modelsim.arguments (Default: nothing)

    Add custom arguments to Modelsim for linting.

  • verilog.linting.modelsim.work (Default: nothing)

    Add custom work library to Modelsim for linting.

  • verilog.linting.verilator.arguments (Default: nothing)

    Add custom arguments to Verilator for linting, like -Wall . The argument --lint-only -I<document folder> will be added by the linter automatically.

  • verilog.linting.verilator.runAtFileLocation (Default: false )

    By default, the linter will be run at the workspace directory. Enable this option to run at the file location. If enabled, `include directives should contain file paths relative to the current file.

  • verilog.linting.verilator.useWSL (Default: false )

    Run verilator under WSL (use apg-get install verilator to install). Paths generated automatically by the extension (the path to the Verilog file as well as the auto-generated document folder for -I ) are translated to WSL paths using the wslpath program. Any other paths you specify in verilog.linting.verilator.arguments must be manually converted.

  • verilog.ctags.path (Default: ctags )

    Path to your installation of Ctags if it isn't already present in your PATH environment variable.

  • verilog.languageServer (Default: none )

    Select the Language Server. Currently supports svls . Make sure svls is in your$PATH environmental variable. Experimental support.

  • verilog.logging.enabled (Default: false )

    Enable logging for this extension. Open the Output pane in VS Code and choose Verilog in the drop-down menu to view the log.

Commands

  • Rerun lint tool

    Choose a lint tool from the list and run it manually. Useful if the code was changed by an external script or version control system.

  • Instantiate Module

    Choose a module present in your workspace to instantiate it in the current file.

Usage Instructions

  • All linters expect the executable binary ( iverilog , verilator ...) to be present in the PATH environment variable, unless otherwise specified.
  • While using `include directives, the path to the files should be relative to the workspace directory, unlessrunAtFileLocation is enabled (not supported by all linters)

Compatability

Feature Windows Linux MacOS
Basics (like Syntax highlighting) Windows 10 Ubuntu 20.04 macOS 10.15
Icarus Verilog Windows 10 Ubuntu 18.04 Yes
Vivado Logical Simulation Windows 10 Not Tested Not Tested
Modelsim Windows 10 Ubuntu 18.04 Not Tested
Verilator Windows 10 Debian 9 Not Tested
Ctags Integration Windows 10 Ubuntu 18.10 Not Tested
Language Server Windows 10 Ubuntu 20.04 macOS 10.15

If you have tested the linters in new platforms or have issues with them, feel free to file an issue.

Guidelines for Contributing

Thanks

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].