All Projects → ghdl → Ghdl

ghdl / Ghdl

Licence: gpl-2.0
VHDL 2008/93/87 simulator

Projects that are alternatives of or similar to Ghdl

Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (-91.75%)
Mutual labels:  gcc, hardware, vhdl
Llvm Tutorial Standalone
DEPRECATED (Use: https://github.com/llvm-hs/llvm-hs-kaleidoscope )
Stars: ✭ 38 (-97.04%)
Mutual labels:  compiler, llvm
Unlisp Llvm
Compiler for a toy Lisp language
Stars: ✭ 33 (-97.43%)
Mutual labels:  compiler, llvm
I8086.js
16bit Intel 8086 / 80186 + X87 emulator written in TypeScript with REPL assembly compiler and tiny C compiler
Stars: ✭ 54 (-95.8%)
Mutual labels:  compiler, simulator
Cfl
a Compileable statically typed Functional programming Language
Stars: ✭ 7 (-99.46%)
Mutual labels:  compiler, llvm
Lyca
programming language compiler w/ llvm
Stars: ✭ 9 (-99.3%)
Mutual labels:  compiler, llvm
C2goasm
C to Go Assembly
Stars: ✭ 1,072 (-16.58%)
Mutual labels:  llvm, gcc
Grin
GRIN is a compiler back-end for lazy and strict functional languages with whole program optimization support.
Stars: ✭ 834 (-35.1%)
Mutual labels:  compiler, llvm
Avalonstudio
Cross platform IDE and Shell
Stars: ✭ 1,132 (-11.91%)
Mutual labels:  llvm, gcc
Ccache
ccache – a fast compiler cache
Stars: ✭ 1,128 (-12.22%)
Mutual labels:  compiler, gcc
Kai
An expressive low level programming language
Stars: ✭ 68 (-94.71%)
Mutual labels:  compiler, llvm
Ldc
The LLVM-based D Compiler.
Stars: ✭ 937 (-27.08%)
Mutual labels:  compiler, llvm
Tenyr
Simple, orthogonal 32-bit computer architecture and environment
Stars: ✭ 24 (-98.13%)
Mutual labels:  hardware, simulator
Zion
A statically-typed strictly-evaluated garbage-collected readable programming language.
Stars: ✭ 33 (-97.43%)
Mutual labels:  compiler, llvm
Gccrs
GCC Front-End for Rust
Stars: ✭ 875 (-31.91%)
Mutual labels:  compiler, gcc
Leekscript V2
A dynamically typed, compiled just-in-time programming language used in Leek Wars' AIs
Stars: ✭ 46 (-96.42%)
Mutual labels:  compiler, llvm
Akilang
A compiler for a simple language, built with Python and LLVM
Stars: ✭ 71 (-94.47%)
Mutual labels:  compiler, llvm
Gocaml
🐫 Practical statically typed functional programming language implementation with Go and LLVM
Stars: ✭ 653 (-49.18%)
Mutual labels:  compiler, llvm
Numba
NumPy aware dynamic Python compiler using LLVM
Stars: ✭ 7,090 (+451.75%)
Mutual labels:  compiler, llvm
Haddoc2
Caffe to VHDL
Stars: ✭ 57 (-95.56%)
Mutual labels:  hardware, vhdl

'Test' workflow Status

This directory contains the sources of GHDL, the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL, a Hardware Description Language (HDL). GHDL is not an interpreter: it allows you to analyse and elaborate sources for generating machine code from your design. Native program execution is the only way for high speed simulation.

Main features

Full support for the 1987, 1993, 2002 versions of the IEEE 1076 VHDL standard, and partial for the latest 2008 revision.

Partial support of PSL.

By using a code generator (LLVM, GCC or, x86_64/i386 only, a built-in one), it is much faster than any interpreted simulator. It can handle very large designs, such as leon3/grlib.

GHDL runs on GNU/Linux, Windows and macOS; on x86, x86_64, armv6/armv7/aarch32, aarch64 and ppc64. You can freely download nightly assets, use OCI images (aka Docker/Podman containers), or try building it on your own machine (see 'Getting GHDL' below).

Can write waveforms to GHW, VCD or FST files. Combined with a GUI-based waveform viewer and a good text editor, GHDL is a very powerful tool for writing, testing and simulating your code.

Co-simulation with foreign applications is supported through Verilog Procedural Interface (VPI) and/or VHPIDIRECT. See ghdl.github.io/ghdl-cosim.

Can synthesize arbitrarily complex VHDL designs into a VHDL 1993 netlist, which can be implicitly or explicitly used in open source or vendor synthesis frameworks.

Supported third party projects: Yosys (through ghdl-yosys-plugin), cocotb (through the VPI interface), OSVVM, UVVM, VUnit, ... (see ghdl/extended-tests).

GHDL is free software:

  • GNU General Public License 2
  • Creative Commons Attribution-ShareAlike available at ghdl.github.io/ghdl.
  • Some of the runtime libraries, are under different terms; see the individual source files for details.

Getting GHDL

  • Pre-built packages:
    • GHDL is available through the default package manager on most distributions: Debian/Ubuntu, Fedora, Arch Linux, MSYS2, etc.
    • After each succesful CI run, nightly tarballs/zipfiles for Ubuntu and Windows (MSYS2) are updated.
    • For using GHDL in CI, setup-ghdl-ci is provided. It is a GitHub Action (see github.com/features/actions) to setup GHDL in just 3 lines.
  • You may use containers from ghdl/docker or hdl/containers, in case your didn't find a suitable release.
  • Build GHDL yourself! See ghdl.github.io/ghdl: Building GHDL.

Project structure

Regular users

  • The CLI tool allows analysis, compilation, simulation and (experimental) synthesis for generating VHDL 1993 netlists. It is written in Ada and C, and three different backends are supported, which are sometimes named ghdl_mcode, ghdl_gcc and ghdl_llvm. This is the entrypoint for most users.

  • [experimental] ghdl-yosys-plugin is the integration of GHDL as a frontend plugin module for Yosys Open SYnthesis Suite, which uses the libghdl library (built with --enable-synth).

  • ghdl-ls (part of pyGHDL, see below) implements Language Server Protocol (LSP) in Python. VHDL analysis features provided by GHDL are accessed through libghdl. This can be integrated in text editors or IDES, such as, Vim, Emacs, Atom or Visual Studio Code. See ghdl/ghdl-language-server.

Advanced users

  • libghdl is a shared library that includes a subset of the regular features plus some features to be used by extension tools (i.e. pyGHDL). This is built along with the regular GHDL and it supports both non-synthesisable and synthesisable code. Nonetheless, this is not for users, but for tools built on top of the core. When configured along with --enable-synth, this shared library includes synthesis features too.

  • pyGHDL is a Python interface to libghdl. Currently, it is only used by ghdl-ls; however, it can be useful for advanced users which are willing to build Python utilities based on GHDL. There is work in progress for binding libghdl to pyVHDLModel (see pyGHDL.dom).

Codecov - Branch Coverage Codacy - Quality Codacy - Coverage

  • [deprecated] ghdl_simul, which supports interpreted simulation, is available for historical reasons and for development/debugging only. It is very slow compared to the 'regular' compiled simulation and not all the features are supported.
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].