Top 144 vhdl open source projects

Orca
RISC-V by VectorBlox
✭ 248
vhdl
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
A2i
✭ 214
vhdl
Awesome Model Quantization
A list of papers, docs, codes about model quantization. This repo is aimed to provide the info for model quantization research, we are continuously improving the project. Welcome to PR the works (papers, repositories) that are missed by the repo.
✭ 200
vhdl
Bladerf Wiphy
bladeRF-wiphy is an open-source IEEE 802.11 compatible software defined radio VHDL modem
✭ 203
vhdl
Ghdl Yosys Plugin
VHDL synthesis (based on ghdl)
✭ 192
vhdl
Fpga displayport
An implementation of DisplayPort protocol for FPGAs
✭ 192
vhdl
Uvvm
UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/
✭ 191
vhdl
Potato
A simple RISC-V processor for use in FPGA designs.
Hardh264
A hardware h264 video encoder written in VHDL. Designed to be synthesized into an FPGA. Initial testing is using Xilinx tools and FPGAs but it is not specific to Xilinx.
✭ 155
vhdl
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Vna2
Second version of homemade 30 MHz - 6 GHz VNA
✭ 150
vhdl
Fletcher
Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Osvvm
OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...
Vhdl lib
Library of VHDL components that are useful in larger designs.
✭ 139
vhdl
Mega65 Core
MEGA65 FPGA core
✭ 137
vhdl
C64 Video Enhancement
Component video modification for the C64 8-bit computer
✭ 136
vhdl
Fmcw3
Two RX-channel 6 GHz FMCW radar design files
✭ 126
vhdl
Hdl4fpga
VHDL library 4 FPGAs
✭ 120
portablevhdl
Neo430
A very small msp430-compatible customizable soft-core microcontroller-like processor system written in platform-independent VHDL.
Zpu
The Zylin ZPU
✭ 118
vhdl
Neppielight
FPGA-based HDMI ambient lighting
✭ 114
vhdl
Artix 7 Hdmi Processing
Receiving and processing 1080p HDMI audio and video on the Artix 7 FPGA
✭ 113
vhdl
Fpgba
GBA on FPGA
✭ 113
vhdl
Cosmos Plus Openssd
Cosmos OpenSSD + Hardware and Software source distribution
✭ 110
vhdl
Nexys4ddr
Various projects for the Nexys4DDR board from Digilent
✭ 110
vhdl
Vhdl Extras
Flexible VHDL library
✭ 109
vhdl
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Hdl checker
Repurposing existing HDL tools to help writing better code
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Hdmi2usb Jahanzeb Firmware
Original hand-coded firmware for the HDMI2USB - HDMI/DVI Capture - project
✭ 100
vhdl
Yodl
A VHDL frontend for Yosys
✭ 98
vhdl
Xjtu Tripler
This repository is the backup of XJTU-Tripler project, participating dac19 system design contest
✭ 98
vhdl
Ethernet mac
Tri-mode (10/100/1000) full-duplex FPGA ethernet MAC in VHDL
✭ 95
vhdl
Tpu
TPU, The Test Processing Unit. Or Terrible Processing Unit. A simple 16-bit CPU in VHDL for education as to the dataflow within a CPU. Designed to run on miniSpartan6+.
✭ 91
vhdl
Freezing Spice
A pipelined RISCV implementation in VHDL
✭ 90
vhdl
Ghdl
VHDL 2008/93/87 simulator
Patmos
Patmos is a time-predictable VLIW processor, and the processor for the T-CREST project
✭ 85
vhdl
Greta
GRETA expansion board for the Amiga 500 computer with Fast RAM, microSD mass storage and Ethernet controller, powered by FPGA technology.
✭ 84
vhdl
Zynqbtc
A Bitcoin miner for the Zynq chip utilizing the Zedboard.
✭ 74
vhdl
Simon speck ciphers
Implementations of the Simon and Speck Block Ciphers
Yafc
Yet Another Forth Core...
✭ 68
vhdl
J1sc
A reimplementation of a tiny stack CPU
Q27
27-Queens Puzzle: Massively Parellel Enumeration and Solution Counting
✭ 60
vhdl
Sublime Vhdl
VHDL Package for Sublime Text
✭ 58
vhdl
Aws Fpga
Official repository of the AWS EC2 FPGA Hardware and Software Development Kit
✭ 1,091
vhdl
Spi Fpga
SPI master and slave for FPGA written in VHDL
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Reonv
ReonV is a modified version of the Leon3, a synthesisable VHDL model of a 32-bit processor originally compliant with the SPARC V8 architecture, now changed to RISC-V ISA.
✭ 47
vhdl
Fpga Fft
A highly optimized streaming FFT core based on Bailey's 4-step large FFT algorithm
Scaffold
Donjon hardware tool for circuits security evaluation
✭ 43
vhdl
Vhdl
VHDL Samples
✭ 40
vhdl
Hdmi2usb Numato Opsis Sample Code
Example code for the Numato Opsis board, the first HDMI2USB production board.
✭ 40
vhdl
1-60 of 144 vhdl projects