All Projects → OSVVM → Osvvm

OSVVM / Osvvm

Licence: other
OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...

Projects that are alternatives of or similar to Osvvm

fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (-74.29%)
Mutual labels:  simulation, vhdl, verification
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (-26.43%)
Mutual labels:  vhdl, coverage, verification
ruby-vpi
Ruby interface to IEEE 1364-2005 Verilog VPI
Stars: ✭ 15 (-89.29%)
Mutual labels:  simulation, verification
v8-inspector-api
A simple node module to access V8 inspector + some tools to export and read the data.
Stars: ✭ 43 (-69.29%)
Mutual labels:  coverage, memory
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (-60%)
Mutual labels:  simulation, vhdl
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (-80.71%)
Mutual labels:  simulation, vhdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+92.86%)
Mutual labels:  vhdl, simulation
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (+212.86%)
Mutual labels:  vhdl, verification
Cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Stars: ✭ 740 (+428.57%)
Mutual labels:  vhdl, verification
Webgl Fluid Simulation
Play with fluids in your browser (works even on mobile)
Stars: ✭ 11,621 (+8200.71%)
Mutual labels:  simulation
Cogent
Cogent Project
Stars: ✭ 137 (-2.14%)
Mutual labels:  verification
Perf Tools
⏱→ 🚀A set of tools for improving performance your application (balancer, performance, PerfKeeper, LazyPromise).
Stars: ✭ 135 (-3.57%)
Mutual labels:  memory
Devopsfactors
The DevOps Factors
Stars: ✭ 134 (-4.29%)
Mutual labels:  methodology
Typescript Coverage Report
Node command tool to generate TypeScript coverage report
Stars: ✭ 138 (-1.43%)
Mutual labels:  coverage
Easydeviceinfo
📱 [Android Library] Get device information in a super easy way.
Stars: ✭ 1,698 (+1112.86%)
Mutual labels:  memory
Galsim
The modular galaxy image simulation toolkit. Documentation:
Stars: ✭ 138 (-1.43%)
Mutual labels:  simulation
Ed4
Computational Cognitive Neuroscience, Fourth Edition
Stars: ✭ 133 (-5%)
Mutual labels:  memory
Lcov To Cobertura Xml
Converts lcov output to Cobertura-compatible XML for CI
Stars: ✭ 131 (-6.43%)
Mutual labels:  coverage
Mpc ros
Nonlinear Model Predictive Control on Differential Wheeled Mobile Robot using ROS
Stars: ✭ 140 (+0%)
Mutual labels:  simulation
Vhdl lib
Library of VHDL components that are useful in larger designs.
Stars: ✭ 139 (-0.71%)
Mutual labels:  vhdl

The OSVVM Utility Library

The OSVVM utility library offers the same capabilities as those provided by other verification languages (such as SystemVerilog and UVM):

  • Transaction-Level Modeling and verification components
  • Constrained Random test generation
  • Functional Coverage with hooks for UCIS coverage database integration
  • Intelligent Coverage Random test generation
  • Utilities for testbench process synchronization generation
  • Utilities for clock and reset generation
  • Transcript files
  • Error logging and reporting - Alerts and Affirmations
  • Message filtering - Logs
  • Scoreboards and FIFOs (data structures for verification)
  • Memory models

Documentation for the Utility library can be found here

Release History

For current release information see CHANGELOG.md

Downloading the libraries

The library OSVVM-Libraries contains all of the OSVVM libraries as submodules. Download the entire OSVVM model library using git clone with the "--recursive" flag:
$ git clone --recursive https://github.com/OSVVM/OsvvmLibraries

Alternately just the OSVVM library can be downloaded using:
$ git clone https://github.com/OSVVM/OSVVM

Participating and Project Organization

The OSVVM project welcomes your participation with either issue reports or pull requests. For details on how to participate see

You can find the project Authors here and Contributors here.

The OSVVM Family of libraries

The OSVVM family of libraries includes the Utility library (this one) as well as verification components and scripting. These are all kept as submodules of OSVVM-Libraries.

More Information on OSVVM

OSVVM Forums and Blog: http://www.osvvm.org/
SynthWorks OSVVM Blog: http://www.synthworks.com/blog/osvvm/
Gitter: https://gitter.im/OSVVM/Lobby
Documentation: Documentation for the OSVVM libraries can be found here

Copyright and License

Copyright (C) 2006-2020 by SynthWorks Design Inc.
Copyright (C) 2020 by OSVVM contributors

This file is part of OSVVM.

Licensed under Apache License, Version 2.0 (the "License")
You may not use this file except in compliance with the License.
You may obtain a copy of the License at

http://www.apache.org/licenses/LICENSE-2.0

Unless required by applicable law or agreed to in writing, software
distributed under the License is distributed on an "AS IS" BASIS,
WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
See the License for the specific language governing permissions and
limitations under the License.
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].