All Projects → VUnit → Vunit

VUnit / Vunit

Licence: other
VUnit is a unit testing framework for VHDL/SystemVerilog

Projects that are alternatives of or similar to Vunit

Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+118.72%)
Mutual labels:  fpga, vhdl, asic
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-65.98%)
Mutual labels:  fpga, asic, verification
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (-37.9%)
Mutual labels:  fpga, asic, verification
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (-66.89%)
Mutual labels:  fpga, vhdl
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-88.58%)
Mutual labels:  fpga, vhdl
PeakRDL-uvm
Generate UVM register model from compiled SystemRDL input
Stars: ✭ 25 (-94.29%)
Mutual labels:  asic, fpga
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (-91.78%)
Mutual labels:  vhdl, verification
fpga puf
🔑 Technology-agnostic Physical Unclonable Function (PUF) hardware module for any FPGA.
Stars: ✭ 44 (-89.95%)
Mutual labels:  fpga, vhdl
DFiant
DFiant: A Dataflow Hardware Descripition Language
Stars: ✭ 21 (-95.21%)
Mutual labels:  asic, fpga
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-90.18%)
Mutual labels:  fpga, vhdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (-38.36%)
Mutual labels:  fpga, vhdl
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-96.58%)
Mutual labels:  fpga, vhdl
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (-88.36%)
Mutual labels:  fpga, vhdl
FPGA CryptoNight V7
FPGA CryptoNight V7 Minner
Stars: ✭ 21 (-95.21%)
Mutual labels:  asic, fpga
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-93.84%)
Mutual labels:  fpga, vhdl
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (-80.37%)
Mutual labels:  asic, fpga
awesome-dv
Awesome ASIC design verification
Stars: ✭ 76 (-82.65%)
Mutual labels:  asic, verification
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (-38.13%)
Mutual labels:  fpga, asic
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (-87.21%)
Mutual labels:  fpga, vhdl
pygears
HW Design: A Functional Approach
Stars: ✭ 122 (-72.15%)
Mutual labels:  asic, fpga

'docs' workflow Status 'images' workflow Status 'push' workflow Status 'coverage' workflow Status

VUnit is an open source unit testing framework for VHDL/SystemVerilog. It features the functionality needed to realize continuous and automated testing of your HDL code. VUnit doesn't replace but rather complements traditional testing methodologies by supporting a test early and often approach through automation. Read more about VUnit.

Contributing in the form of code, docs, feedback, ideas or bug reports is welcome. Read our contribution guide to get started.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].