All Projects → FPGAwars → Icestudio

FPGAwars / Icestudio

Licence: gpl-2.0
❄️ Visual editor for open FPGA boards

Programming Languages

javascript
184084 projects - #8 most used programming language

Projects that are alternatives of or similar to Icestudio

Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+478.18%)
Mutual labels:  verilog, fpga, ide
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (-50.42%)
Mutual labels:  verilog, fpga, ide
Graphql Editor
📺 Visual Editor & GraphQL IDE. Draw GraphQL schemas using visual 🔷 nodes and explore GraphQL API with beautiful UI. Even 🐒 can do that!
Stars: ✭ 5,485 (+472.55%)
Mutual labels:  ide, editor
Luna Studio
Looking for Luna, the WYSIWYG language for data processing? Development has moved 👉
Stars: ✭ 602 (-37.16%)
Mutual labels:  ide, editor
Dev Cpp
A fast, portable, simple, and free C/C++ IDE
Stars: ✭ 940 (-1.88%)
Mutual labels:  ide, editor
Uhd
The USRP™ Hardware Driver Repository
Stars: ✭ 544 (-43.22%)
Mutual labels:  verilog, fpga
Pyscripter
Pyscripter is a feature-rich but lightweight Python IDE
Stars: ✭ 584 (-39.04%)
Mutual labels:  ide, editor
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (-29.44%)
Mutual labels:  verilog, fpga
Open Fpga Verilog Tutorial
Learn how to design digital systems and synthesize them into an FPGA using only opensource tools
Stars: ✭ 464 (-51.57%)
Mutual labels:  verilog, fpga
Hdl
HDL libraries and projects
Stars: ✭ 727 (-24.11%)
Mutual labels:  verilog, fpga
Mastering Pycharm Course
Course demos and handouts for Talk Python's Mastering PyCharm course
Stars: ✭ 700 (-26.93%)
Mutual labels:  ide, editor
Dbkoda
State of the art MongoDB IDE
Stars: ✭ 795 (-17.01%)
Mutual labels:  ide, editor
Cakeshop
An integrated development environment and SDK for Ethereum-like ledgers
Stars: ✭ 491 (-48.75%)
Mutual labels:  ide, editor
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (-51.36%)
Mutual labels:  verilog, fpga
Iroha
Intermediate Representation Of Hardware Abstraction (LLVM-ish for HLS)
Stars: ✭ 30 (-96.87%)
Mutual labels:  verilog, fpga
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (-33.19%)
Mutual labels:  verilog, fpga
Luaperfect
A pure C++ Lua IDE project, aimed to be the fastest and lightest Lua IDE in the world.
Stars: ✭ 368 (-61.59%)
Mutual labels:  ide, editor
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (-56.89%)
Mutual labels:  verilog, fpga
Spinalhdl
Scala based HDL
Stars: ✭ 696 (-27.35%)
Mutual labels:  verilog, fpga
Ninja Ide
{Ninja-IDE Is Not Just Another IDE}
Stars: ✭ 868 (-9.39%)
Mutual labels:  ide, editor

Build Status Version License Documentation Status

Visual editor for open FPGA boards. Built on top of the Icestorm project using Apio.

Graphic design -> Verilog, PCF -> Bistream -> FPGA

For more information visit our site icestudio.io

Supported boards

HX1K

Board name Interface
IceZUM Alhambra FTDI
Nandland Go board FTDI
iCEstick Evaluation Kit FTDI

HX8K

Board name Interface
Alhambra II FTDI
BlackIce Serial
BlackIce II Serial
icoBOARD 1.0 GPIO RPi
Kéfir I iCE40-HX4K FTDI
iCE40-HX8K Breakout Board FTDI
iceFUN Serial

LP8K

Board name Interface
TinyFPGA B2 Serial
TinyFPGA BX Serial

UP5K

Board name Interface
iCEBreaker FTDI
iCEBreaker bitsy FTDI
UPDuino v1.0 FTDI
UPDuino v2.0 FTDI
FPGA 101 Workshop Badge Board FTDI
iCE40 UltraPlus Breakout Board FTDI
dadamachines doppler samd51 on board
iCESugar v1.5 HID
OK:iCE40 Pro FTDI

Installation

You can install stable or nightly Icestudio versions.

Because Icestudio is in development proccess, until it rises to 1.0 version, we are recommending to install nightly Icestudio versions, that have the latest features.

Check the Documentation for more information.

Development

Install Python >= 3.5 and Node.js, for windows developers nodejs version should be 10.17.x

Atom editor with linter-jshint is recommended.

If you want to add blocks or examples, please contribute to icestudio-blocks, icestudio-examples or collection-default.

Download

git clone https://github.com/FPGAwars/icestudio.git
cd icestudio

Install

npm install

Execute

npm start

Languages

Language Translated strings
English Progress
Spanish Progress
Italian Progress
French Progress
Dutch Progress
Czech Progress
Greek Progress
German Progress
Russian Progress
Chinese Progress
Galician Progress
Basque Progress
Catalan Progress

Contribute!

Add or update the app translations using Poedit.

Developer note: use npm run gettext to extract the labels from the code.

Documentation

cd docs
make html
firefox _build/html/index.html

Package

npm run dist
Target OS Development OS Output files
GNU/Linux GNU/Linux (linux32,linux64).zip, (linux32,linux64).AppImage
Windows GNU/Linux (win32,win64).zip, (win32,win64).exe
Mac OS Mac OS (osx32,osx64).zip, osx64.dmg

Apio configuration

Apio backend is configured in the app/package.json file:

  • apio.min: minimum version (>=)
  • apio.max: maximum version (<)
  • apio.extras: list of external Python programmers (blackiceprog, tinyfpgab)
  • apio.external: load an external Apio package instead of the default one (e.g. /path/to/my/apio)
  • apio.branch: install Apio from the repository branch instead of PyPI.

An external Apio package can be also set on runtime using the ICESTUDIO_APIO environment variable.

Troubleshooting

If you get this error npm ERR! peerinvalid The package [email protected] does not satisfy its siblings' peerDependencies requirements!, try to update your nodejs or execute:

npm update -g

More information

Roadmap

There is a Wishlist in the wiki with the features proposed by the Community. If you want to contribute with new features and ideas write in the Google Group.

We use the GitHub issues to track the work and schedule our new features and improvements.

Development Team

Contributors

Version Contributors
0.5 Carlos Venegas Arrabé, Juan González (Obijuan), Zakary Kamal Ismail, Sam
0.4 Carlos Venegas Arrabé, Elektor.Labs, Piotr Esden-Tempski, Miodrag Milanović, raTmole, Luca Cristaldi
0.3 Luke Valenty, Lorea-Aldabaldetreku, Carlos Díaz, Martoni, Xoan Sampaiño, Unai, Francisco Sayas, Pascal Cotret, Juan Jose Luna Espinosa, Salvador E. Tropea, redbeard, Eladio Delgado, Philipp van Kempen
0.2 Tomás Calvo, Juan González (Obijuan), Carlos Díaz, Xoan Sampaiño, Salvador E. Tropea, Democrito, Martoni, Pascal Cotret
0.1 Miguel Sánchez de León Peque

Credits

  • FPGAwars community has developed this project in a voluntary and altruistic way since 02/2017.

  • BQ sponsored this project from 02/2016 to 02/2017. Thanks

License

Licensed under GPL 2.0 and Creative Commons Attribution-ShareAlike 4.0 International License.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].