All Projects → EttusResearch → Uhd

EttusResearch / Uhd

Licence: other
The USRP™ Hardware Driver Repository

Projects that are alternatives of or similar to Uhd

Beagle sdr gps
KiwiSDR: BeagleBone web-accessible shortwave receiver and software-defined GPS
Stars: ✭ 300 (-44.85%)
Mutual labels:  verilog, fpga, sdr
Openwifi Hw
FPGA/hardware design of openwifi
Stars: ✭ 181 (-66.73%)
Mutual labels:  verilog, fpga, sdr
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Stars: ✭ 205 (-62.32%)
Mutual labels:  verilog, fpga, sdr
Openwifi
open-source IEEE 802.11 WiFi baseband FPGA (chip) design
Stars: ✭ 2,257 (+314.89%)
Mutual labels:  verilog, fpga, sdr
1bitSDR
Minimal SDR with Lattice MachXO2 FPGA. And a port to Cyclone3 by Steven Groom
Stars: ✭ 53 (-90.26%)
Mutual labels:  fpga, verilog, sdr
Fake-SDcard
Imitate SDcard using FPGAs.
Stars: ✭ 26 (-95.22%)
Mutual labels:  fpga, verilog
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (-50.37%)
Mutual labels:  verilog, fpga
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (-50%)
Mutual labels:  verilog, fpga
Icezum
🌟 IceZUM Alhambra: an Arduino-like Open FPGA electronic board
Stars: ✭ 280 (-48.53%)
Mutual labels:  verilog, fpga
Openpiton
The OpenPiton Platform
Stars: ✭ 282 (-48.16%)
Mutual labels:  verilog, fpga
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (-45.59%)
Mutual labels:  verilog, fpga
blarney
Haskell library for hardware description
Stars: ✭ 81 (-85.11%)
Mutual labels:  fpga, verilog
cariboulite
CaribouLite turns any 40-pin Raspberry-Pi into a Tx/Rx 6GHz SDR
Stars: ✭ 785 (+44.3%)
Mutual labels:  fpga, sdr
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (-50.18%)
Mutual labels:  verilog, fpga
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-92.1%)
Mutual labels:  fpga, verilog
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (-90.62%)
Mutual labels:  fpga, verilog
Apio
🌱 Open source ecosystem for open FPGA boards
Stars: ✭ 366 (-32.72%)
Mutual labels:  verilog, fpga
Fpga Imaging Library
An open source library for image processing on FPGA.
Stars: ✭ 325 (-40.26%)
Mutual labels:  verilog, fpga
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (-24.08%)
Mutual labels:  verilog, fpga
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (-14.34%)
Mutual labels:  verilog, fpga

USRP Hardware Driver (UHD™) Software

Welcome to the UHD™ software distribution! UHD is the free & open-source software driver and API for the Universal Software Radio Peripheral (USRP™) SDR platform, created and sold by Ettus Research.

UHD supports all Ettus Research USRP™ hardware, including all motherboards and daughterboards, and the combinations thereof.

Documentation

For technical documentation related to USRP™ hardware or UHD system design, check out the UHD and USRP Manual. That is where you can find Installation Instructions, help on how to build UHD from source on different platforms, development guidelines and reference documentation as well as device usage guidance.

Additionally, be sure to check out the Ettus Research FAQ, and the Knowledge Base for useful application notes and tutorials.

OS Support

UHD is primarily developed on Linux, but we also test and support the following operating systems.

  • Linux (Fedora and Ubuntu)
  • Mac OS X (Intel)
  • Windows 10

Other operating systems will most likely work, too, but are not officially supported.

Applications

UHD can be used to build stand-alone applications with USRP™ hardware, or with third-party applications. Some common toolkits / frameworks are:

Directories

host/

The source code for the user-space driver.

mpm/

The source code for the module peripheral manager (MPM). This is code that is run on embedded devices.

firmware/

The source code for all microprocessors in USRP hardware.

fpga/

The source code for the UHD FPGA images.

images/

This contains the package builder for FPGA and firmware images. We provide other tools to download image packages, the scripts in here are mainly relevant for UHD maintainers and -developers.

tools/

Additional tools, mainly for debugging purposes. See the readme-file in that directory for more details on the individual tools.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].