Top 317 fpga open source projects

Xilinx axidma
A zero-copy Linux driver and a userspace interface library for Xilinx's AXI DMA and VDMA IP blocks. These serve as bridges for communication between the processing system and FPGA programmable logic fabric, through one of the DMA ports on the Zynq processing system. Distributed under the MIT License.
✭ 251
cdriverfpga
Basic verilog
Must-have verilog systemverilog modules
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
Blueoil
Bring Deep Learning to small devices
Xrt
Xilinx Run Time for FPGA
Convolution network on fpga
CNN acceleration on virtex-7 FPGA with verilog HDL
Icicle
32-bit RISC-V system on chip for iCE40 and ECP5 FPGAs
✭ 234
cfpgarisc-v
Axi
AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication
Hastlayer Sdk
Turning .NET assemblies into FPGA hardware for faster execution and lower power usage. See the Readme and https://hastlayer.com.
80x86
80186 compatible SystemVerilog CPU core and FPGA reference design
✭ 220
fpgax86
Biriscv
32-bit Superscalar RISC-V CPU
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Echomods
Open source ultrasound processing modules and building blocks
Verilog Generator Of Neural Net Digit Detector For Fpga
Verilog Generator of Neural Net Digit Detector for FPGA
✭ 187
verilogfpga
Openfpga
An Open-source FPGA IP Generator
✭ 184
cfpga
Spoonn
FPGA-based neural network inference project with an end-to-end approach (from training to implementation to deployment)
Openwifi Hw
FPGA/hardware design of openwifi
Wb2axip
Bus bridges and other odds and ends
Fpga Chip8
CHIP-8 console on FPGA
✭ 169
verilogfpga
Fpga readings
Recipe for FPGA cooking
Heterocl
HeteroCL: A Multi-Paradigm Programming Infrastructure for Software-Defined Heterogeneous Computing
Mipi csi receiver fpga
MIPI CSI-2 Camera Sensor Receiver verilog HDL implementation For any generic FPGA. Tested with IMX219 on Lattice MachXO3LF. 2Gbps UVC Video Stream Over USB 3.0 with Cypress FX3
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Plutosdr Fw
PlutoSDR Firmware
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Rapidwright
Build Customized FPGA Implementations for Vivado
✭ 143
javafpga
Fletcher
Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Openfpgaduino
All open source file and project for OpenFPGAduino project
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Wbuart32
A simple, basic, formally verified UART controller
Gemm hls
Scalable systolic array-based matrix-matrix multiplication implemented in Vivado HLS for Xilinx FPGAs.
✭ 134
cmakefpgahls
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Hlslib
A collection of extensions for Vivado HLS and Intel FPGA OpenCL to improve developer quality of life.
✭ 131
cmakefpgahpc
Free Tpu
Free TPU for FPGA with Lenet, MobileNet, Squeezenet, Resnet, Inception V3, YOLO V3, and ICNet. Deep learning acceleration using Xilinx zynq (Zedboard or ZC702 ) or kintex-7 to solve image classification, detection, and segmentation problem.
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Dnnweaver2
Open Source Specialized Computing Stack for Accelerating Deep Neural Networks.
Vna
a simple and cheap vector network analyzer, including support software
✭ 121
cfpgapcb
Neo430
A very small msp430-compatible customizable soft-core microcontroller-like processor system written in platform-independent VHDL.
Connectal
Connectal is a framework for software-driven hardware development.
Vm80a
i8080 precise replica in Verilog, based on reverse engineering of real die
Tf2
An Open Source Deep Learning Inference Engine Based on FPGA
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Autofpga
A utility for Composing FPGA designs from Peripherals
✭ 108
verilogfpga
Dace
DaCe - Data Centric Parallel Programming
Fpga Soc Linux
FPGA+SoC+Linux+Device Tree Overlay+FPGA Manager U-Boot&Linux Kernel&Debian10 Images (for Xilinx:Zynq-Zybo:PYNQ-Z1 Altera:de0-nano-soc)
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Riscboy
Portable games console, designed from scratch: CPU, graphics, PCB, and the kitchen sink
Glasgow
Scots Army Knife for electronics
Nyuziprocessor
GPGPU microprocessor architecture
Pp4fpgas Cn Hls
HLS Project of pp4fpgas - https://github.com/xupsh/pp4fpgas-cn
Systemrdl Compiler
SystemRDL 2.0 language compiler front-end
Vgasim
A Video display simulator
Icestation 32
Compact FPGA game console
Kactus2dev
Kactus2 is a graphical EDA tool based on the IP-XACT standard.
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Antikernel
The Antikernel operating system project
1-60 of 317 fpga projects