All Projects → poucotm → Verilog-Gadget

poucotm / Verilog-Gadget

Licence: other
🔧 Verilog plugin for Sublime Text 2/3. It helps to generate a simple testbench, instantiate a module, insert a user-header, repeat codes with formatted incremental/decremental numbers, etc.

Programming Languages

python
139335 projects - #7 most used programming language
Verilog
626 projects

Projects that are alternatives of or similar to Verilog-Gadget

sublime-font-cycler
Quickly cycle between your favorite fonts in Sublime Text with the press of a key
Stars: ✭ 19 (-24%)
Mutual labels:  sublime-text
sublime-pine
A Pine programming language syntax for Sublime Text.
Stars: ✭ 35 (+40%)
Mutual labels:  sublime-text
pdp6
PDP-6 Emulator
Stars: ✭ 47 (+88%)
Mutual labels:  verilog
sublime-meetio-theme
The most powerful and adaptive theme for Sublime Text 3
Stars: ✭ 71 (+184%)
Mutual labels:  sublime-text
sublimetext-stringutilities
Sublime Text 2/3 plugin for string manipulations
Stars: ✭ 81 (+224%)
Mutual labels:  sublime-text
vboard
Virtual development board for HDL design
Stars: ✭ 32 (+28%)
Mutual labels:  verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (+328%)
Mutual labels:  verilog
sublime-jsoncomma
A Sublime Text plugin to deal with those damn JSON commas!
Stars: ✭ 35 (+40%)
Mutual labels:  sublime-text
Assembly-Syntax-Definition
This is the greatest syntax definition of All Time
Stars: ✭ 23 (-8%)
Mutual labels:  sublime-text
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (+108%)
Mutual labels:  verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (+44%)
Mutual labels:  verilog
laravel forms boostrap snippets
Handy Sublime Text snippets to create forms inputs for Laravel Collective Forms with Twitter Bootstrap 3.
Stars: ✭ 38 (+52%)
Mutual labels:  sublime-text
dotfiles
Dotfiles. Mac and *nix. Handy scripts, configurations for bash, zsh, git, asdf, Sublime Text, Karabiner-Elements, BetterTouchTool and more.
Stars: ✭ 15 (-40%)
Mutual labels:  sublime-text
exalt
A Sublime Text plugin for validating and formatting XML documents
Stars: ✭ 22 (-12%)
Mutual labels:  sublime-text
ARM9-compatible-soft-CPU-core
This ARMv4-compatible CPU core is written in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.
Stars: ✭ 42 (+68%)
Mutual labels:  verilog
SaneSnippets
Sublime Text snippets optimized for humans, not robots
Stars: ✭ 59 (+136%)
Mutual labels:  sublime-text
Golite
Add essential language support for the Go language to Sublime Text 3.
Stars: ✭ 14 (-44%)
Mutual labels:  sublime-text
Terminals-In-Sublime-Text
Use (Windows) Terminals within Sublime Text 3 such as: Command Prompt, Git Bash, Google Cloud SDK Shell, etc
Stars: ✭ 73 (+192%)
Mutual labels:  sublime-text
SublimeText-GameMaker-Plugin
GML Snippets & Syntax Highlighting for SublimeText 2
Stars: ✭ 20 (-20%)
Mutual labels:  sublime-text
Sublime-Pretty-Shell
🐚 Shell Script Formatter / Syntax Checker (Powered by shfmt)
Stars: ✭ 28 (+12%)
Mutual labels:  sublime-text

Verilog Gadget for Sublime Text

Package Control PayPal

Use Verilog Gadget Commands in command palette (ctrl+shift+p) or context menu to run. The context menu only can be seen for .v, .vh, .sv, .svh file. (file extensions can be added or changed in settings). The theme is Guna, which is used in examples. There's a linter plug-in for Verilog. SublimeLinter-contrib-verilator

Verilog Gadget: Instantiate Module (ctrl+shift+c)

  • It parses module ports for the currently open file
  • It generates an instance text of the module
  • It copies generated text to clipboard
  • Then, you can paste the text to the desired location
  • Supports Verilog-1995, Verilog-2001 style ports and parameters
  • example)

Image

Verilog Gadget: Generate Testbench

  • It parses module ports for the currently open file
  • It generates a simple testbench with an instance and signals of the module
  • The testbench is created as a systemverilog file
  • Supports Verilog-1995, Verilog-2001 style ports and parameters
  • example)

Image

Verilog Gadget: Simulaton Template

  • It creates files for simulation based on the template
  • You can make your own template as a compressed file (.zip,.tar,.tgz)
  • You can specify the path of your template ("simulation_template","simulation_directory")
  • 'example-modelsim' is the template for modelsim, 'example-vcs' is the template for vcs
  • It automatically generates the testbench files for the current view
  • It changes keywords in files of the template ({{TESTBENCH FILE}}, {{TESTBENCH NAME}}, {{MODULE FILE}}, {{MODULE NAME}},{{MODULE PORTLIST}})
  • example)

Image

Verilog Gadget: Insert Header (ctrl+shift+insert)

  • You can insert your own header-description in a format from the file specified in settings
  • {YEAR} is replaced as the current year
  • {DATE} is replaced as the create date
  • {TIME} is replaced as the create time
  • {RDATE} is replaced as the revised date
  • {RTIME} is replaced as the revised time
  • {FILE} is replaced as the file name
  • {TABS} is replaced as the tab size
  • {SUBLIME_VERSION} is replaced as the current sublime text version
  • example) header example

Image

Verilog Gadget: Repeat Code with Numbers (ctrl+f12)

  • Select codes you want to repeat, this may include Python's format symbol, such as {...}
  • Enter a range in the input panel as the following : [from]~[to],[↓step],[→step] (e.g. 0~10 or 0~10,2 or 10~0,-1 or 0~5,1,1 ...)
  • [↓step] means the row step, default is 1, [→step] means the column step, default is 0
  • The code is repeated in incremental or decremental numbers
  • Python's format symbol supports variable formats : binary, hex, leading zeros, ...
  • To use '{' as it is, you must enter twice like '{{'
  • Refer to Python's format symbol here, https://www.python.org/dev/peps/pep-3101/
  • For sublime text 2 (python 2.x), you must put an index behind ':' in curly brackets like foo {0:5b} bar {1:3d}
  • example)

Image

  • The index can be used to repeat the same number
  • example)

Image

  • It is possible to repeat numbers with clipboard text (line by line)
  • Use {cb} for clipboard text
  • example)

Image

Verilog Gadget: Alignment (ctrl+shift+x)

  • Select a range to apply the alignment to
  • Press the shortcut key
  • Alignment is based on the longest length of the left hand side in the selection
  • Tabs are replaced as spaces except indentation
  • example)

Image

Verilog Gadget: Insert Snippet (ctrl+alt+p)

  • You can make your own parameterized snippets like this example
  • Add your snippet settings like this
  • Run Insert Snippet command
  • example)

Image

Verilog Gadget: VCD to WaveDrom (ctrl+alt+v)

  • WaveDrom : digital timing diagram editor
  • Open .vcd file (a clock should be included)
  • Run VCD to WaveDrom command

Image

Verilog Linter (another package)

SublimeLinter-contrib-verilator

Image

Donate

Doate Image

Thank you for donating. It is helpful to continue to improve the plug-in.

Issues

When you have an issue, tell me through https://github.com/poucotm/Verilog-Gadget/issues, or send me an e-mail [email protected]

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].