All Projects → risclite → ARM9-compatible-soft-CPU-core

risclite / ARM9-compatible-soft-CPU-core

Licence: Apache-2.0 license
This ARMv4-compatible CPU core is written in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.

Programming Languages

Verilog
626 projects
HTML
75241 projects
CSS
56736 projects

Projects that are alternatives of or similar to ARM9-compatible-soft-CPU-core

Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (+547.62%)
Mutual labels:  cpu, verilog
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+2378.57%)
Mutual labels:  cpu, verilog
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (+1423.81%)
Mutual labels:  cpu, verilog
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-9.52%)
Mutual labels:  cpu, verilog
E200 opensource
This repository hosts the project for open-source hummingbird E203 RISC processor Core.
Stars: ✭ 1,909 (+4445.24%)
Mutual labels:  cpu, verilog
R8051
8051 soft CPU core. 700-lines statements for 111 instructions . Fully synthesizable Verilog-2001 core.
Stars: ✭ 70 (+66.67%)
Mutual labels:  cpu, verilog
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (+2.38%)
Mutual labels:  cpu, verilog
CSCvon8
A crazy small 8-bit CPU built with only seventeen 7400-series chips.
Stars: ✭ 86 (+104.76%)
Mutual labels:  cpu, verilog
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (+83.33%)
Mutual labels:  cpu, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (+52.38%)
Mutual labels:  cpu, verilog
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (+2428.57%)
Mutual labels:  cpu, verilog
COExperiment Repo
支持 45 条 MIPS 指令的单周期处理器 -- 计算机组成原理实验 NUAA Spring 2017
Stars: ✭ 23 (-45.24%)
Mutual labels:  cpu, verilog
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+395.24%)
Mutual labels:  cpu, verilog
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (+297.62%)
Mutual labels:  cpu, verilog
verilog-sid-mos6581
MOS6581 SID chip emulator in SystemVerilog
Stars: ✭ 22 (-47.62%)
Mutual labels:  verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-14.29%)
Mutual labels:  verilog
CoinHive
A nice friendly simple and easly customizable GUI for coinhives javascript miner to embed onto websites so users of your site can interact with features of the miner on every single page this javascript miner is to help those who have problems with advertisements/advertising/ads popups banners mobile redirects malvertising/malware etc and provid…
Stars: ✭ 58 (+38.1%)
Mutual labels:  cpu
indicium
Portable, advanced system information utility
Stars: ✭ 46 (+9.52%)
Mutual labels:  cpu
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (+23.81%)
Mutual labels:  verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (+154.76%)
Mutual labels:  verilog

ARM9-compatible-soft-CPU-core

This ARMv4-compatible CPU core is built in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.

Linux

uClinux

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].