All Projects â†’ polysome â†’ Hydra

polysome / Hydra

Licence: gpl-3.0
a programmable cryptographic coprocessor in verilog

Labels

Projects that are alternatives of or similar to Hydra

Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (+8160%)
Mutual labels:  verilog
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+110680%)
Mutual labels:  verilog
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (+13420%)
Mutual labels:  verilog
Sd2snes
SD card based multi-purpose cartridge for the SNES
Stars: ✭ 418 (+8260%)
Mutual labels:  verilog
Odrivehardware
High performance motor control
Stars: ✭ 498 (+9860%)
Mutual labels:  verilog
Oh
Verilog library for ASIC and FPGA designers
Stars: ✭ 585 (+11600%)
Mutual labels:  verilog
Scr1
SCR1 is a high-quality open-source RISC-V MCU core in Verilog
Stars: ✭ 393 (+7760%)
Mutual labels:  verilog
Hdl
HDL libraries and projects
Stars: ✭ 727 (+14440%)
Mutual labels:  verilog
Uhd
The USRPâ„¢ Hardware Driver Repository
Stars: ✭ 544 (+10780%)
Mutual labels:  verilog
Verilator
Verilator open-source SystemVerilog simulator and lint system
Stars: ✭ 645 (+12800%)
Mutual labels:  verilog
Open Fpga Verilog Tutorial
Learn how to design digital systems and synthesize them into an FPGA using only opensource tools
Stars: ✭ 464 (+9180%)
Mutual labels:  verilog
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (+9400%)
Mutual labels:  verilog
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (+12700%)
Mutual labels:  verilog
Leflow
Enabling Flexible FPGA High-Level Synthesis of Tensorflow Deep Neural Networks
Stars: ✭ 414 (+8180%)
Mutual labels:  verilog
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+13820%)
Mutual labels:  verilog
Mips Cpu
MIPS CPU implemented in Verilog
Stars: ✭ 409 (+8080%)
Mutual labels:  verilog
Corundum
Open source, high performance, FPGA-based NIC
Stars: ✭ 577 (+11440%)
Mutual labels:  verilog
Cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Stars: ✭ 740 (+14700%)
Mutual labels:  verilog
Verilog Ethernet
Verilog Ethernet components for FPGA implementation
Stars: ✭ 699 (+13880%)
Mutual labels:  verilog
Miaow
An open source GPU based off of the AMD Southern Islands ISA.
Stars: ✭ 650 (+12900%)
Mutual labels:  verilog

Hydra

Hydra is an energy efficient programmable cryptographic coprocessor supporting elliptic curve pairings over fields of large characteristics.

This verilog implementation was developed at National Taiwan University.

Released as GPLv3 with permission of Chen-Mou Cheng.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].