All Projects → verilator → Verilator

verilator / Verilator

Licence: lgpl-3.0
Verilator open-source SystemVerilog simulator and lint system

Programming Languages

cpp
1120 projects

Projects that are alternatives of or similar to Verilator

Sd2snes
SD card based multi-purpose cartridge for the SNES
Stars: ✭ 418 (-35.19%)
Mutual labels:  verilog
Binaryen
Compiler infrastructure and toolchain library for WebAssembly
Stars: ✭ 5,294 (+720.78%)
Mutual labels:  compilers
Oh
Verilog library for ASIC and FPGA designers
Stars: ✭ 585 (-9.3%)
Mutual labels:  verilog
Open Fpga Verilog Tutorial
Learn how to design digital systems and synthesize them into an FPGA using only opensource tools
Stars: ✭ 464 (-28.06%)
Mutual labels:  verilog
Odrivehardware
High performance motor control
Stars: ✭ 498 (-22.79%)
Mutual labels:  verilog
Uhd
The USRP™ Hardware Driver Repository
Stars: ✭ 544 (-15.66%)
Mutual labels:  verilog
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (-35.97%)
Mutual labels:  verilog
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (-0.78%)
Mutual labels:  verilog
Libfsm
DFA regular expression library & friends
Stars: ✭ 512 (-20.62%)
Mutual labels:  compilers
Wgtcc
A small C11 compiler
Stars: ✭ 580 (-10.08%)
Mutual labels:  compilers
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (-27.75%)
Mutual labels:  verilog
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (-26.36%)
Mutual labels:  verilog
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+758.76%)
Mutual labels:  verilog
Simd Visualiser
A tool to graphically visualize SIMD code
Stars: ✭ 459 (-28.84%)
Mutual labels:  compilers
An Askreddit List Of Compsci Books
books and resources loved by r/learnprogramming community, compiled by me, a lurker who kept track
Stars: ✭ 598 (-7.29%)
Mutual labels:  compilers
Leflow
Enabling Flexible FPGA High-Level Synthesis of Tensorflow Deep Neural Networks
Stars: ✭ 414 (-35.81%)
Mutual labels:  verilog
Compiladores
Stars: ✭ 535 (-17.05%)
Mutual labels:  compilers
Miaow
An open source GPU based off of the AMD Southern Islands ISA.
Stars: ✭ 650 (+0.78%)
Mutual labels:  verilog
Sdc
Intel® Scalable Dataframe Compiler for Pandas*
Stars: ✭ 623 (-3.41%)
Mutual labels:  compilers
Corundum
Open source, high performance, FPGA-based NIC
Stars: ✭ 577 (-10.54%)
Mutual labels:  verilog

.. Github doesn't render images unless absolute URL .. Do not know of a conditional tag, "only: github" nor "github display" works

.. image:: https://img.shields.io/badge/License-LGPL%20v3-blue.svg :target: https://www.gnu.org/licenses/lgpl-3.0] .. image:: https://img.shields.io/badge/License-Artistic%202.0-0298c3.svg :target: https://opensource.org/licenses/Artistic-2.0 .. image:: https://api.codacy.com/project/badge/Grade/fa78caa433c84a4ab9049c43e9debc6f :target: https://www.codacy.com/gh/verilator/verilator .. image:: https://codecov.io/gh/verilator/verilator/branch/master/graph/badge.svg :target: https://codecov.io/gh/verilator/verilator .. image:: https://github.com/verilator/verilator/workflows/build/badge.svg :target: https://github.com/verilator/verilator/actions?query=workflow%3Abuild

Welcome to Verilator

.. list-table::

    • Welcome to Verilator, the fastest Verilog/SystemVerilog simulator.
      • Accepts synthesizable Verilog or SystemVerilog
      • Performs lint code-quality checks
      • Compiles into multithreaded C++, or SystemC
      • Creates XML to front-end your own tools
    • |Logo|
    • |verilator multithreaded performance bg min|
    • Fast
      • Outperforms many commercial simulators
      • Single- and multi-threaded output models
    • Widely Used
      • Wide industry and academic deployment
      • Out-of-the-box support from Arm, and RISC-V vendor IP
    • |verilator usage 400x200 min|
    • |verilator community 400x125 min|
    • Community Driven & Openly Licensed
      • Guided by the CHIPS Alliance_ and Linux Foundation_
      • Open, and free as in both speech and beer
      • More simulation for your verification budget
    • Commercial Support Available
      • Commercial support contracts
      • Design support contracts
      • Enhancement contracts
    • |verilator support 400x125 min|

What Verilator Does

Verilator is invoked with parameters similar to GCC or Synopsys's VCS. It "Verilates" the specified Verilog or SystemVerilog code by reading it, performing lint checks, and optionally inserting assertion checks and coverage-analysis points. It outputs single- or multi-threaded .cpp and .h files, the "Verilated" code.

The user writes a little C++/SystemC wrapper file, which instantiates the "Verilated" model of the user's top level module. These C++/SystemC files are then compiled by a C++ compiler (gcc/clang/MSVC++). The resulting executable performs the design simulation. Verilator also supports linking its generated libraries, optionally encrypted, into other simulators.

Verilator may not be the best choice if you are expecting a full featured replacement for NC-Verilog, VCS or another commercial Verilog simulator, or if you are looking for a behavioral Verilog simulator e.g. for a quick class project (we recommend Icarus Verilog_ for this.) However, if you are looking for a path to migrate SystemVerilog to C++ or SystemC, or your team is comfortable writing just a touch of C++ code, Verilator is the tool for you.

Performance

Verilator does not simply convert Verilog HDL to C++ or SystemC. Rather, Verilator compiles your code into a much faster optimized and optionally thread-partitioned model, which is in turn wrapped inside a C++/SystemC module. The results are a compiled Verilog model that executes even on a single-thread over 10x faster than standalone SystemC, and on a single thread is about 100 times faster than interpreted Verilog simulators such as Icarus Verilog_. Another 2-10x speedup might be gained from multithreading (yielding 200-1000x total over interpreted simulators).

Verilator has typically similar or better performance versus the closed-source Verilog simulators (Carbon Design Systems Carbonator, Modelsim, Cadence Incisive/NC-Verilog, Synopsys VCS, VTOC, and Pragmatic CVer/CVC). But, Verilator is open-sourced, so you can spend on computes rather than licenses. Thus Verilator gives you the best cycles/dollar.

For more information on how Verilator stacks up to some of the other closed-sourced and open-sourced Verilog simulators, see the Verilog Simulator Benchmarks <https://www.veripool.org/verilog_sim_benchmarks.html>. (If you benchmark Verilator, please see the notes in the Verilator manual (PDF) <https://verilator.org/verilator_doc.pdf>, and also if possible post on the forums the results; there may be additional tweaks possible.)

Installation & Documentation

For more information:

  • Verilator installation and package directory structure <https://verilator.org/install>_

  • Verilator manual (HTML) <https://verilator.org/verilator_doc.html>, or Verilator manual (PDF) <https://verilator.org/verilator_doc.pdf>

  • Subscribe to verilator announcements <https://github.com/verilator/verilator-announce>_

  • Verilator forum <https://verilator.org/forum>_

  • Verilator issues <https://verilator.org/issues>_

Support

Verilator is a community project, guided by the CHIPS Alliance_ under the Linux Foundation_.

We appreciate and welcome your contributions in whatever form; please see Contributing to Verilator <https://github.com/verilator/verilator/blob/master/docs/CONTRIBUTING.rst>. Thanks to our Contributors and Sponsors <https://verilator.org/verilator_doc.html#CONTRIBUTORS>.

Verilator also supports and encourages commercial support models and organizations; please see Verilator Commercial Support <https://verilator.org/verilator_commercial_support>_.

Related Projects

  • GTKwave <http://gtkwave.sourceforge.net/>_ - Waveform viewer for Verilator traces.

  • Icarus Verilog_ - Icarus is a full featured interpreted Verilog simulator. If Verilator does not support your needs, perhaps Icarus may.

Open License

Verilator is Copyright 2003-2021 by Wilson Snyder. (Report bugs to Verilator Issues <https://verilator.org/issues>_.)

Verilator is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. See the documentation for more details.

.. _CHIPS Alliance: https://chipsalliance.org .. _Icarus Verilog: http://iverilog.icarus.com .. _Linux Foundation: https://www.linuxfoundation.org .. |Logo| image:: https://www.veripool.org/img/verilator_256_200_min.png .. |verilator multithreaded performance bg min| image:: https://www.veripool.org/img/verilator_multithreaded_performance_bg-min.png .. |verilator usage 400x200 min| image:: https://www.veripool.org/img/verilator_usage_400x200-min.png .. |verilator community 400x125 min| image:: https://www.veripool.org/img/verilator_community_400x125-min.png .. |verilator support 400x125 min| image:: https://www.veripool.org/img/verilator_support_400x125-min.png

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].