All Projects â†’ FPGAwars â†’ Apio

FPGAwars / Apio

Licence: gpl-2.0
🌱 Open source ecosystem for open FPGA boards

Programming Languages

python
139335 projects - #7 most used programming language

Projects that are alternatives of or similar to Apio

Fake-SDcard
Imitate SDcard using FPGAs.
Stars: ✭ 26 (-92.9%)
Mutual labels:  fpga, verilog
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (-25.68%)
Mutual labels:  verilog, fpga
Construct
A PHP project/micro-package generator for PDS compliant projects or micro-packages.
Stars: ✭ 257 (-29.78%)
Mutual labels:  cli, package
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-88.25%)
Mutual labels:  fpga, verilog
Beagle sdr gps
KiwiSDR: BeagleBone web-accessible shortwave receiver and software-defined GPS
Stars: ✭ 300 (-18.03%)
Mutual labels:  verilog, fpga
blarney
Haskell library for hardware description
Stars: ✭ 81 (-77.87%)
Mutual labels:  fpga, verilog
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (-25.96%)
Mutual labels:  verilog, fpga
dpll
A collection of phase locked loop (PLL) related projects
Stars: ✭ 55 (-84.97%)
Mutual labels:  fpga, verilog
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (-19.13%)
Mutual labels:  verilog, fpga
Openpiton
The OpenPiton Platform
Stars: ✭ 282 (-22.95%)
Mutual labels:  verilog, fpga
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (-86.07%)
Mutual labels:  fpga, verilog
Wasm Pack
This tool seeks to be a one-stop shop for building and working with rust- generated WebAssembly that you would like to interop with JavaScript, in the browser or with Node.js. wasm-pack helps you build rust-generated WebAssembly packages that you could publish to the npm registry, or otherwise use alongside any javascript packages in workflows that you already use, such as webpack.
Stars: ✭ 3,848 (+951.37%)
Mutual labels:  cli, package
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (-86.89%)
Mutual labels:  fpga, verilog
aplus
Aplus Command Line Tool
Stars: ✭ 71 (-80.6%)
Mutual labels:  package, manager
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (-76.5%)
Mutual labels:  fpga, verilog
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (-26.23%)
Mutual labels:  verilog, fpga
FPGA-USB-Device
FPGA-based USB-device controller to implement USB-CDC, USB-HID, etc.
Stars: ✭ 29 (-92.08%)
Mutual labels:  fpga, verilog
rapcores
Robotic Application Processor
Stars: ✭ 14 (-96.17%)
Mutual labels:  fpga, verilog
Icezum
🌟 IceZUM Alhambra: an Arduino-like Open FPGA electronic board
Stars: ✭ 280 (-23.5%)
Mutual labels:  verilog, fpga
Reduceron
FPGA Haskell machine with game changing performance. Reduceron is Matthew Naylor, Colin Runciman and Jason Reich's high performance FPGA softcore for running lazy functional programs, including hardware garbage collection. Reduceron has been implemented on various FPGAs with clock frequency ranging from 60 to 150 MHz depending on the FPGA. A high degree of parallelism allows Reduceron to implement graph evaluation very efficiently. This fork aims to continue development on this, with a view to practical applications. Comments, questions, etc are welcome.
Stars: ✭ 308 (-15.85%)
Mutual labels:  verilog, fpga

Build Status Latest Version License Documentation Status

       

Open source ecosystem for open FPGA boards

Apio (pronounced [ˈa.pjo]) is a multiplatform toolbox, with static pre-built packages, project configuration tools and easy command interface to verify, synthesize, simulate and upload your verilog designs.

Apio is used by Icestudio.

Table of contents

Installation

  1. Install Python and pip

  2. Install the latest apio: pip install -U apio

Apio packages

Package Installation Description
drivers apio install drivers Drivers tools (only for Windows)
examples apio install examples Verilog basic examples, pinouts, etc
gtkwave apio install gtkwave Simulation viewer. GTKWave project (only for Windows)
yosys apio install yosys FPGA synthesis. Yosys project
ice40 apio install ice40 iCE40 place & route and configuration tools. Icestorm project
ecp5 apio install ecp5 ECP5 tools including Project Trellis and nextpnr
iverilog apio install iverilog Verilog simulation and synthesis tool. Icarus Verilog project
scons apio install scons A software construction tool. Scons project
system apio install system Tools for listing the USB devices and retrieving information from the FTDI chips
verilator apio install verilator Verilog HDL simulator. Verilator project
icesprog apio install icesprog Programmer for the iCESugar
dfu-utils apio install dfu Device Firmware Upgrade Utilities
fujprog apio install fujprog Programmer for ULX2/3S boards

Supported platforms

linux_x86_64, linux_i686, linux_armv7l, linux_aarch64, windows_x86, windows_amd64, darwin.

Supported boards

HX1K

Board name Interface
IceZUM Alhambra FTDI
Nandland Go board FTDI
iCEstick Evaluation Kit FTDI
iCEblink40-HX1K Digilent Adept

HX8K

Board name Interface
Alchitry-Cu
Alhambra II FTDI
BlackIce Serial
BlackIce II Serial
Blackice-mx Serial
CAT Board GPIO RPi
icoBOARD 1.0 GPIO RPi
Kéfir I iCE40-HX4K FTDI
iCE40-HX8K Breakout Board FTDI
Alchitry Cu FTDI
iceFUN Serial

LP8K

Board name Interface
TinyFPGA B2 Serial
TinyFPGA BX Serial

UP5K

Board name Interface
arice1
Fomu DFU
FPGA 101 Workshop Badge Board FTDI
iCEBreaker FTDI
iCEBreaker bitsy FTDI
iCE40 UltraPlus Breakout Board FTDI
UPDuino v1.0 FTDI
UPDuino v2.0 FTDI
UPDuino v2.1 FTDI
UPDuino v3.0 FTDI
iCESugar v1.5 FTDI
OK-iCE40Pro FTDI

ECP5

Board name Interface
OrangeCrab r0.2 FTDI
TinyFPGA-EX-rev1 Serial
TinyFPGA-EX-rev2 Serial
ULX3S-12F Ujprog
ULX3S-25F Ujprog
ULX3S-45F Ujprog
ULX3S-85F Ujprog
Versa

NOTE: all supported Icestorm FPGAs can be used with --fpga or --size, --type and --pack options.

Documentation

The complete documentation of the project can be found in Read the Docs: http://apiodoc.readthedocs.io. There is also a list of frequently asked questions (FAQ) that you can check here.

Development

git clone https://github.com/FPGAwars/apio.git
cd apio

Testing

pip install tox
tox
tox -e offline
tox -e coverage

Documentation

cd docs
make html
firefox _build/html/index.html

Debian packaging

Also you can find the debian scripts to package the full application and all the packages here: https://github.com/set-soft/apio-debian.

Thanks Salvador E. Tropea!

Videos

Apio in RPI2: iCEstick, Icezum and icoBOARD

Apio: an easy multi-platform toolbox for open FPGAs

Authors

Contributors

Credits

  • APIO was inspired by PlatformIO.

  • FPGAwars community has developed this project in a voluntary and altruistic way since 11/2016.

  • BQ sponsored this project from 02/2016 to 11/2016. Thanks.

License

Licensed under GPL 2.0 and Creative Commons Attribution-ShareAlike 4.0 International License.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].