All Projects → johan92 → yafpgatetris

johan92 / yafpgatetris

Licence: MIT license
Yet Another Tetris on FPGA Implementation

Programming Languages

Verilog
626 projects
SystemVerilog
227 projects
tcl
693 projects
shell
77523 projects
python
139335 projects - #7 most used programming language

Projects that are alternatives of or similar to yafpgatetris

vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+1020.69%)
Mutual labels:  fpga, verilog
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Stars: ✭ 205 (+606.9%)
Mutual labels:  fpga, verilog
Wb2axip
Bus bridges and other odds and ends
Stars: ✭ 177 (+510.34%)
Mutual labels:  fpga, verilog
Fpga readings
Recipe for FPGA cooking
Stars: ✭ 164 (+465.52%)
Mutual labels:  fpga, verilog
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+86.21%)
Mutual labels:  fpga, verilog
Fpga Chip8
CHIP-8 console on FPGA
Stars: ✭ 169 (+482.76%)
Mutual labels:  fpga, verilog
Verilog Generator Of Neural Net Digit Detector For Fpga
Verilog Generator of Neural Net Digit Detector for FPGA
Stars: ✭ 187 (+544.83%)
Mutual labels:  fpga, verilog
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (+372.41%)
Mutual labels:  fpga, verilog
Basic verilog
Must-have verilog systemverilog modules
Stars: ✭ 247 (+751.72%)
Mutual labels:  fpga, verilog
Convolution network on fpga
CNN acceleration on virtex-7 FPGA with verilog HDL
Stars: ✭ 236 (+713.79%)
Mutual labels:  fpga, verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+427.59%)
Mutual labels:  fpga, verilog
FPGA ThreeLevelStorage
【原创,已被编入官方教材】Three-level storage subsystem(SD+DDR2 SDRAM+Cache), based on Nexys4 FPGA board. 同济大学计算机系统结构课程设计,FPGA三级存储子系统。
Stars: ✭ 86 (+196.55%)
Mutual labels:  fpga, verilog
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (+413.79%)
Mutual labels:  fpga, verilog
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (+475.86%)
Mutual labels:  fpga, verilog
Openwifi
open-source IEEE 802.11 WiFi baseband FPGA (chip) design
Stars: ✭ 2,257 (+7682.76%)
Mutual labels:  fpga, verilog
Openwifi Hw
FPGA/hardware design of openwifi
Stars: ✭ 181 (+524.14%)
Mutual labels:  fpga, verilog
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (+365.52%)
Mutual labels:  fpga, verilog
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (+372.41%)
Mutual labels:  fpga, verilog
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+617.24%)
Mutual labels:  fpga, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-41.38%)
Mutual labels:  fpga, verilog
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].