All Projects → TerosTechnology → vscode-terosHDL

TerosTechnology / vscode-terosHDL

Licence: GPL-3.0 license
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!

Programming Languages

javascript
184084 projects - #8 most used programming language
typescript
32286 projects
HTML
75241 projects
CSS
56736 projects
python
139335 projects - #7 most used programming language
shell
77523 projects

Projects that are alternatives of or similar to vscode-terosHDL

SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-88.92%)
Mutual labels:  fpga, vhdl, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-86.77%)
Mutual labels:  fpga, vhdl, verilog
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-95.38%)
Mutual labels:  fpga, vhdl, verilog
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-84.62%)
Mutual labels:  fpga, vhdl, verilog
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-90.46%)
Mutual labels:  fpga, vhdl, verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (-52.92%)
Mutual labels:  fpga, vhdl, verilog
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (-55.38%)
Mutual labels:  fpga, vhdl, verilog
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-92.31%)
Mutual labels:  fpga, vhdl, verilog
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+194.77%)
Mutual labels:  fpga, vhdl, verilog
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+114.15%)
Mutual labels:  fpga, vhdl, verilog
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-83.38%)
Mutual labels:  fpga, vhdl, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-80.31%)
Mutual labels:  fpga, vhdl, verilog
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (-16.92%)
Mutual labels:  fpga, vhdl, verilog
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+220.31%)
Mutual labels:  fpga, vhdl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-94.77%)
Mutual labels:  fpga, vhdl, verilog
Fletcher
Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
Stars: ✭ 144 (-55.69%)
Mutual labels:  fpga, vhdl
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (-48.62%)
Mutual labels:  fpga, verilog
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Stars: ✭ 142 (-56.31%)
Mutual labels:  fpga, vhdl
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-54.15%)
Mutual labels:  fpga, verilog
Fpga readings
Recipe for FPGA cooking
Stars: ✭ 164 (-49.54%)
Mutual labels:  fpga, verilog

Current Version Install Count Download Count vscode-TerosHDL documentation

TerosHDL

Check the full documentation: https://terostechnology.github.io

The goal of TerosHDL is to provide an open source IDE for HDL devlopers with functionalities commonly used by software developers. The IDE consist in a bunch of tools and on top of them is the VSCode plugin. Some tools are developed by Teros Technology organization and others come from open source proyects. All the tools are organized in different backends and exposed to the GUI with the plugin.

The IDE tries to be as much self-contained as possible and simplify the installation process. Features:

  • Simulators and tools support: Vivado, ModelSim, GHDL, Verilator, Icarus, VCS, Yosys, VUnit, cocotb, Diamond, Icestorm, ISE, Quartus, Radiant, Spyglass, Symbiflow, Trellis, Xcelium... and more!
  • Go to definition.
  • Hover.
  • Hiterachy viewer.
  • Dependencies viewer.
  • Syntax highlighting.
  • Template generator.
  • Automatic documentation.
  • Verilog/SV schematic viewer.
  • Errors linter.
  • Style linter: Verible.
  • Code formatting.
  • State machine viewer.
  • State machine designer.
  • Code snippets and grammar.

TerosHDL

Managed by

Sponsor

This project was funded through the NGI Assure Fund, a fund established by NLnet with financial support from the European Commission's Next Generation Internet programme, under the aegis of DG Communications Networks, Content and Technology under grant agreement No 957073.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].