All Projects → projf → projf-explore

projf / projf-explore

Licence: MIT License
Project F brings FPGAs to life with exciting open-source designs you can build on.

Programming Languages

SystemVerilog
227 projects
tcl
693 projects
C++
36643 projects - #6 most used programming language
shell
77523 projects
Makefile
30231 projects

Labels

Projects that are alternatives of or similar to projf-explore

Fuxi
Fuxi (伏羲) is a 32-bit pipelined RISC-V processor written in Chisel3.
Stars: ✭ 68 (-74.63%)
Mutual labels:  fpga
wbi2c
Wishbone controlled I2C controllers
Stars: ✭ 25 (-90.67%)
Mutual labels:  fpga
prjxray-db
Project X-Ray Database: XC7 Series
Stars: ✭ 52 (-80.6%)
Mutual labels:  fpga
iceskate
A low cost FPGA development board for absolute newbies
Stars: ✭ 15 (-94.4%)
Mutual labels:  fpga
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-89.93%)
Mutual labels:  fpga
phywhispererusb
PhyWhisperer-USB: Hardware USB Trigger
Stars: ✭ 56 (-79.1%)
Mutual labels:  fpga
spector
Spector: An OpenCL FPGA Benchmark Suite
Stars: ✭ 38 (-85.82%)
Mutual labels:  fpga
Clio
Clio, ASPLOS'22.
Stars: ✭ 37 (-86.19%)
Mutual labels:  fpga
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (-80.97%)
Mutual labels:  fpga
UA3REO-DDC-Transceiver
DDC/DUC SDR Tranceiver project
Stars: ✭ 93 (-65.3%)
Mutual labels:  fpga
Alhambra-II-FPGA
🌟 Alhambra II FPGA board
Stars: ✭ 63 (-76.49%)
Mutual labels:  fpga
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (-45.15%)
Mutual labels:  fpga
shapool-core
FPGA core for SHA256d mining targeting Lattice iCE40 devices.
Stars: ✭ 19 (-92.91%)
Mutual labels:  fpga
pygears
HW Design: A Functional Approach
Stars: ✭ 122 (-54.48%)
Mutual labels:  fpga
riscv-contest-2018
RISCV SoftCPU Contest 2018
Stars: ✭ 14 (-94.78%)
Mutual labels:  fpga
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (-79.1%)
Mutual labels:  fpga
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-94.4%)
Mutual labels:  fpga
HPS2FPGAmapping
SoCFPGA: Mapping HPS Peripherals, like I²C or CAN, over the FPGA fabric to FPGA I/O and using embedded Linux to control them (Intel Cyclone V)
Stars: ✭ 27 (-89.93%)
Mutual labels:  fpga
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-81.34%)
Mutual labels:  fpga
zed face
zedboard上基于FPGA+ARM的人脸识别智能监控系统。关键词:linux,zedboard,arm,fpga,人脸检测,人脸识别。
Stars: ✭ 38 (-85.82%)
Mutual labels:  fpga

Project F - FPGA Development

Project F is a little oasis where you can quench your thirst for FPGA knowledge, where you can find accessible, open-source designs to learn from and build on. Our main projects are the Verilog Library and FPGA Graphics tutorial series; read on to learn more.

Get an introduction with About Project F, follow @WillFlux for updates, and join the FPGA discussion on 1BitSquared Discord. Take a peek into the future with our roadmap.

Image generated by the greetings demo from Ad Astra on a Nexys Video FPGA board.

Verilog Library

The Project F Library includes handy Verilog designs for everyone. From framebuffers and video output to division and square root, rom and ram, and even circle drawing. You can freely build on these MIT licensed designs for commercial and non-commercial projects.

See Library for details or discover about the background to the Library.

FPGA Graphics

In this series, we explore graphics at the hardware level and get a feel for the power of FPGAs. We'll learn how screens work, play Pong, create starfields and sprites, paint Michelangelo's David, simulate life, draw lines and triangles, and animate characters and shapes. Along the way, you'll experience a range of designs and techniques, from memory and finite state machines to crossing clock domains and translating C algorithms into Verilog.

If you're new to the series, start by reading Beginning FPGA Graphics.

Hello

A three-part introduction to FPGA development with Verilog; currently available for two boards: the Arty A7 and Nexys Video.

Maths and Algorithms

Maths & Algorithms is our lastest tutorial series:

There are maths demos in this repo to accompany the series.

Stay tuned for more parts in spring 2022.

Requirements

FPGA Architecture

Our designs seek to be vendor-neutral, but some functionality requires support for vendor primitives. We currently support two FPGA architectures:

  • XC7 - Xilinx 7 Series FPGAs, such as Spartan-7 and Artix-7
    • BUFG, MMCME2_BASE
    • HDMI support: OBUFDS, OSERDES2
  • iCE40 - Lattice iCE40 FPGAs, such as iCE40 UltraPlus
    • SB_IO, SB_PLL40_PAD, SB_SPRAM256KA

We also infer block ram (BRAM), see lib/memory.

Porting to other architectures should be straightforward.

SystemVerilog?

We use a few simple features of SystemVerilog to make Verilog more pleasant:

  • logic type is safer and less work than using wire and reg
  • always_comb and always_ff to make intent clear and catch mistakes
  • $clog2 to calculate vector widths (e.g. for addresses)
  • enum to make finite state machines simpler to work with
  • Matching names in module instances: .clk_pix instead of .clk_pix(clk_pix)

I believe these features are helpful, especially for beginners. All the SystemVerilog features used are compatible with recent versions of Verilator, Yosys, and Xilinx Vivado. However, if you need to use an older Verilog standard, you can adapt these designs without too much trouble.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].