All Projects → hukenovs → math

hukenovs / math

Licence: GPL-3.0 License
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)

Programming Languages

matlab
3953 projects

Projects that are alternatives of or similar to math

intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (+186.67%)
Mutual labels:  fpga, dsp, vhdl, verilog, fast-fourier-transform, fft, digital-signal-processing, fast-convolutions
dsp-theory
Theory of digital signal processing (DSP): signals, filtration (IIR, FIR, CIC, MAF), transforms (FFT, DFT, Hilbert, Z-transform) etc.
Stars: ✭ 643 (+4186.67%)
Mutual labels:  fpga, dsp, fast-fourier-transform, fft, digital-signal-processing, fir
Fpga Fft
A highly optimized streaming FFT core based on Bailey's 4-step large FFT algorithm
Stars: ✭ 45 (+200%)
Mutual labels:  fpga, dsp, vhdl, fft
DTMF-Decoder
A Java program to implement a DMTF Decoder.
Stars: ✭ 28 (+86.67%)
Mutual labels:  dsp, fast-fourier-transform, fft, digital-signal-processing
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+6840%)
Mutual labels:  fpga, vhdl, verilog
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (+106.67%)
Mutual labels:  fpga, vhdl, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (+326.67%)
Mutual labels:  fpga, vhdl, verilog
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (+880%)
Mutual labels:  fpga, verilog, fft
Dsp Theory
Theory of digital signal processing (DSP): signals, filtration (IIR, FIR, CIC, MAF), transforms (FFT, DFT, Hilbert, Z-transform) etc.
Stars: ✭ 437 (+2813.33%)
Mutual labels:  fpga, dsp, fft
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+920%)
Mutual labels:  fpga, vhdl, verilog
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+260%)
Mutual labels:  fpga, vhdl, verilog
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+6286.67%)
Mutual labels:  fpga, vhdl, verilog
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+2066.67%)
Mutual labels:  fpga, vhdl, verilog
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+4540%)
Mutual labels:  fpga, vhdl, verilog
ooura
Javascript port of Ooura FFT implementation
Stars: ✭ 23 (+53.33%)
Mutual labels:  dsp, fast-fourier-transform, fft
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (+66.67%)
Mutual labels:  fpga, vhdl, verilog
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Stars: ✭ 205 (+1266.67%)
Mutual labels:  fpga, dsp, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (+140%)
Mutual labels:  fpga, vhdl, verilog
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+1700%)
Mutual labels:  fpga, vhdl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (+13.33%)
Mutual labels:  fpga, vhdl, verilog

MATH

Useful m-scripts for DSP/FPGA application based on Matlab or GNU Octave.

License: GNU GPL 3.0.

List of components:

Script Function Description
test_fir FIR calculate IR and frequency response for FIR-filter, find coeffs.
test_cic CIC calculate FIR-filter compensator to correct freq response after CIC filter.
test_cfir CFIR compare CFIR-filter by 2 methods: Time-Domain / Freq-Domain.
test_fast_conv FAST CONV calculate fast convolution by using FFT / IFFT
test_parallel_fir FFA FIR calculate parallel hi-freq FIR-filters (polyphase without decimation)
test_ulfft ULTRA LONG FFT calculate ultra-long FFT / IFFT by using 2-D methods

Info:

Title Universal m-scripts for DSP based on FGPA
Author Alexander Kapitanov
Contact
Project lang m-scripts
Compiler Matlab or GNU Octave
Release Date 20 Sep 2018
Version 1.0

For more information see: https://habr.com/users/capitanov/topics/

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].