All Projects → VLSI-EDA → PoC-Examples

VLSI-EDA / PoC-Examples

Licence: Apache-2.0 License
This repository contains synthesizable examples which use the PoC-Library.

Programming Languages

VHDL
269 projects

Projects that are alternatives of or similar to PoC-Examples

Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+900%)
Mutual labels:  fpga, vhdl, synthesis
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-7.41%)
Mutual labels:  fpga, vhdl, synthesis
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (+107.41%)
Mutual labels:  fpga, vhdl, synthesis
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+100%)
Mutual labels:  fpga, vhdl
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+466.67%)
Mutual labels:  fpga, vhdl
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
Stars: ✭ 244 (+803.7%)
Mutual labels:  fpga, vhdl
Neo430
A very small msp430-compatible customizable soft-core microcontroller-like processor system written in platform-independent VHDL.
Stars: ✭ 120 (+344.44%)
Mutual labels:  fpga, vhdl
fpga torture
🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-14.81%)
Mutual labels:  fpga, vhdl
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-37.04%)
Mutual labels:  fpga, vhdl
captouch
👇 Add capacitive touch buttons to any FPGA!
Stars: ✭ 96 (+255.56%)
Mutual labels:  fpga, vhdl
vhdl-hdmi-out
HDMI Out VHDL code for 7-series Xilinx FPGAs
Stars: ✭ 36 (+33.33%)
Mutual labels:  fpga, vhdl
Fletcher
Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
Stars: ✭ 144 (+433.33%)
Mutual labels:  fpga, vhdl
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Stars: ✭ 142 (+425.93%)
Mutual labels:  fpga, vhdl
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (+33.33%)
Mutual labels:  vhdl, synthesis
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (+407.41%)
Mutual labels:  fpga, synthesis
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+1103.7%)
Mutual labels:  fpga, vhdl
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (+11.11%)
Mutual labels:  fpga, vhdl
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+333.33%)
Mutual labels:  fpga, synthesis
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (+292.59%)
Mutual labels:  fpga, vhdl
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (+307.41%)
Mutual labels:  fpga, synthesis

The PoC-Examples Collection

PoC - “Pile of Cores” provides implementations for often required hardware functions such as FIFOs, RAM wrapper, and ALUs. The hardware modules are typically provided as VHDL or Verilog source code, so it can be easily re-used in a variety of hardware designs.

This repository provides common examples and synthesis tests to show how the PoC-Library can be used. The PoC-Library is referenced as a git submodule.

Table of Content:

  1. Overview
  2. Download
  3. Requirements
  4. Configure PoC-Examples on a Local System
  5. Synthesizing Examples
  6. Updating PoC

1 Overview

TODO TODO TODO

2 Download

The PoC-Examples Collection can be downloaded as a zip-file (latest 'master' branch) or cloned with git clone from GitHub. GitHub offers HTTPS and SSH as transfer protocols. See the Download wiki page for more details.

For SSH protocol use the URL ssh://[email protected]:VLSI-EDA/PoC-Examples.git or command line instruction:

cd <GitRoot>
git clone --recursive ssh://git@github.com:VLSI-EDA/PoC-Examples.git PoC-Examples

For HTTPS protocol use the URL https://github.com/VLSI-EDA/PoC-Examples.git or command line instruction:

cd <GitRoot>
git clone --recursive https://github.com/VLSI-EDA/PoC-Examples.git PoC-Examples

Note: The option --recursive performs a recursive clone operation for all linked git submodules. An additional git submodule init and git submodule update call is not needed anymore.

3 Requirements

The PoC-Examples Collection and the PoC-Library come with some scripts to ease most of the common tasks, like running testbenches, generating IP cores or synthesizing examples. We choose to use Python as a platform independent scripting environment. All Python scripts are wrapped in PowerShell or Bash scripts, to hide some platform specifics of Windows or Linux. See the Requirements wiki page for more details and download sources.

Common requirements:
  • Programming languages and runtimes:
  • Synthesis tool chains:
    • Xilinx ISE 14.7 or
    • Xilinx Vivado ≥ 2014.1 or
    • Altera Quartus-II ≥ 13.x
  • Simulation tool chains:
    • Xilinx ISE Simulator 14.7 or
    • Xilinx Vivado Simulator ≥ 2014.1 or
    • Mentor Graphics ModelSim Altera Edition or
    • Mentor Graphics QuestaSim or
    • GHDL and GTKWave
Linux specific requirements:
  • Debian specific:
    • bash is configured as /bin/sh (read more)
      dpkg-reconfigure dash
Windows specific requirements:

4 Configure PoC-Examples on a Local System

To explore PoC-Examples' and PoC's full potential, it's required to configure some paths and synthesis or simulation tool chains. The following commands start a guided configuration process. Please follow the instructions. It's possible to relaunch the process at every time, for example to register new tools or to update tool versions. See the Configuration wiki page for more details.

4.1 Configuring the Embedded PoC-Library

All Windows command line instructions are intended for Windows PowerShell, if not marked otherwise. So executing the following instructions in Windows Command Prompt (cmd.exe) won't function or result in errors! See the Requirements wiki page on where to download or update PowerShell.

Run the following command line instructions to configure the embedded PoC-Library on your local system.

cd <ExamplesRoot>
cd lib\PoC\
.\poc.ps1 configure

4.2 Creating PoC's my_project File

The PoC-Library needs two VHDL files for it's configuration. These files are used to determine the most suitable implementation depending on the provided platform information. A set of my_config files is provided within the collection, but a per host my_project.vhdl needs to be created.

The my_project file can be created from a template provided by PoC in <ExamplesRoot>\lib\PoC\src\common\my_project.vhdl.template.

The file must to be copyed into the collection's source directory <ExamplesRoot>\src\common and rename into my_project.vhdl. This file must not be included into version control systems - it's private to a host computer.

cd <ExamplesRoot>
cp lib\PoC\src\common\my_project.vhdl.template src\common\my_project.vhdl

my_project.vhdl defines two global constants, which need to be adjusted:

constant MY_PROJECT_DIR      : string := "CHANGE THIS"; -- e.g. d:/vhdl/myproject/, /home/me/projects/myproject/"
constant MY_OPERATING_SYSTEM : string := "CHANGE THIS"; -- e.g. WINDOWS, LINUX

5 Synthesizing Examples

The PoC-Examples Collection is shipped with project files for various tool chains and IDEs.

5.1 Using Xilinx ISE

5.2 Using Xilinx Vivado

5.3 Using Altera Quartus-II

6 Updating PoC-Examples

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].