All Projects → dpretet → async_fifo

dpretet / async_fifo

Licence: Apache-2.0 license
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog

Programming Languages

Verilog
626 projects
SystemVerilog
227 projects

Projects that are alternatives of or similar to async fifo

xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-78.63%)
Mutual labels:  fpga, verilog, synthesis, hdl
Deep-DarkFantasy
Global Dark Mode for ALL apps on ANY platforms.
Stars: ✭ 16 (-86.32%)
Mutual labels:  fpga, verilog, verilog-hdl
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-68.38%)
Mutual labels:  fpga, verilog, hdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+130.77%)
Mutual labels:  fpga, verilog, synthesis
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (-26.5%)
Mutual labels:  fpga, verilog, hdl
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (-5.98%)
Mutual labels:  fpga, verilog, synthesis
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (-56.41%)
Mutual labels:  fpga, verilog, hdl
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (+132.48%)
Mutual labels:  fpga, verification, verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-67.52%)
Mutual labels:  fpga, verilog, hdl
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (+298.29%)
Mutual labels:  fpga, verilog, synthesis
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (+27.35%)
Mutual labels:  fpga, verification, verilog
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (+17.09%)
Mutual labels:  fpga, verilog, synthesis
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (-29.06%)
Mutual labels:  fpga, verilog, hdl
yafpgatetris
Yet Another Tetris on FPGA Implementation
Stars: ✭ 29 (-75.21%)
Mutual labels:  fpga, verilog
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-82.91%)
Mutual labels:  fpga, verilog
FPGA ThreeLevelStorage
【原创,已被编入官方教材】Three-level storage subsystem(SD+DDR2 SDRAM+Cache), based on Nexys4 FPGA board. 同济大学计算机系统结构课程设计,FPGA三级存储子系统。
Stars: ✭ 86 (-26.5%)
Mutual labels:  fpga, verilog
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-84.62%)
Mutual labels:  fpga, verilog
shdl6800
shdl6800: A 6800 processor written in SpinalHDL
Stars: ✭ 22 (-81.2%)
Mutual labels:  fpga, hdl
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (-43.59%)
Mutual labels:  fpga, verilog
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (-70.94%)
Mutual labels:  fpga, verilog

Asynchronous dual clock FIFO

GitHub issues GitHub forks GitHub stars GitHub license

Overview

This repository stores a verilog description of dual clock FIFO. A FIFO is a convenient circuit to exchange data between two clock domains. It manages the RAM addressing internally, the clock domain crossing and informs the user of the FIFO fillness with "full" and "empty" flags.

It is widely inspired by the excellent article from Clifford Cummings, Simulation and Synthesis Techniques for Asynchronous FIFO Design.

The simulation testcases available use Icarus Verilog and SVUT tool to run the tests.

Documentation

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].