All Projects → ZipCPU → Vgasim

ZipCPU / Vgasim

A Video display simulator

Projects that are alternatives of or similar to Vgasim

wbi2c
Wishbone controlled I2C controllers
Stars: ✭ 25 (-73.4%)
Mutual labels:  fpga, gplv3, verilog
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (+56.38%)
Mutual labels:  fpga, gplv3, verilog
Wb2axip
Bus bridges and other odds and ends
Stars: ✭ 177 (+88.3%)
Mutual labels:  verilog, fpga, gplv3
dpll
A collection of phase locked loop (PLL) related projects
Stars: ✭ 55 (-41.49%)
Mutual labels:  fpga, gplv3, verilog
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-54.26%)
Mutual labels:  verilog, fpga
Rsyocto
🤖 SoCFPGA: Open Source embedded Linux developed for Intel (ALTERA) SoC-FPGAs (Cyclone V & Arria 10)
Stars: ✭ 41 (-56.38%)
Mutual labels:  verilog, fpga
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (-1.06%)
Mutual labels:  verilog, fpga
Electron
A mixed signal netlist language (pre-alpha)
Stars: ✭ 52 (-44.68%)
Mutual labels:  verilog, fpga
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+919.15%)
Mutual labels:  verilog, fpga
Wbscope
A wishbone controlled scope for FPGA's
Stars: ✭ 50 (-46.81%)
Mutual labels:  verilog, fpga
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (-42.55%)
Mutual labels:  verilog, fpga
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-59.57%)
Mutual labels:  verilog, fpga
Higan Verilog
This is a higan/Verilator co-simulation example/framework
Stars: ✭ 35 (-62.77%)
Mutual labels:  verilog, fpga
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+1007.45%)
Mutual labels:  verilog, fpga
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-67.02%)
Mutual labels:  verilog, fpga
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (+1029.79%)
Mutual labels:  verilog, fpga
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-31.91%)
Mutual labels:  verilog, fpga
Core jpeg
High throughput JPEG decoder in Verilog for FPGA
Stars: ✭ 64 (-31.91%)
Mutual labels:  verilog, fpga
Jt gng
CAPCOM arcade hardware accurately replicated on MiST and MiSTer FPGA platforms. It covers Ghosts'n Goblins, 1942, 1943, Commando, F1-Dream, GunSmoke, Tiger Road, Black Tiger, Bionic Commando, Higemaru, Street Fighter and Vulgus.
Stars: ✭ 65 (-30.85%)
Mutual labels:  verilog, fpga
Antikernel
The Antikernel operating system project
Stars: ✭ 75 (-20.21%)
Mutual labels:  verilog, fpga

Controller

This repository contains a couple of Video Controllers.

The original Video Controller includes not only the low-level framer, but also a bus controller to read values from memory to then be displayed on the screen. This is the basis of a frame buffer approach to video. This capability is fully demonstrated via the Verilator based simulator.

There is now also an AXI-based Video Controller that can produce either VGA or HDMI signals. This controller is demonstrated via a slightly different simulator, including simulations for both VGA and HDMI.

Simulation

This repository also contains two basic video simulator components. The first, either VGASIM or HDMISIM takes video outputs from a Verilated design module and displays them on your screen as though it were the monitor the design was displaying to, and the second takes a piece of your screen and creates a VGA source signal with it. (There's no simulated HDMI source presently.)

All video modes are supported by simply creating the simulator object with the appropriate mode lines, although the memory initialization file for the outgoing demo is specifically formatted for a 1280x1024 screen. The simulator will then create a window of that size on any GTK enabled screen (i.e. Linux), displaying whatever image your design is producing.

The repository also contains a test pattern generator modeled roughly after a standard VGA pattern, although not quite the same. As mentioned above, there's also a frame buffered approach to drawing on the window centered around a wishbone enabled memory driver. This second capability will draw a more arbitrary image on the display.

References to VGA within this module could just as easily refer to any display. Be careful that you match the proper polarity of the sync pulses.

Building

There is a master Makefile in this directory. Hence, to build this project you should be able to just clone it, git clone https://github.com/ZipCPU/vgasim, run make in the main directory, and then run main_tb from within the bench/cpp directory.

The project depends upon having both Verilator and gtkmm-3.0 installed.

License

All of the source code in this repository is released under the GPLv3. If these conditions are not sufficient for your needs, other licenses terms may be purchased.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].