All Projects → peteut → migen-axi

peteut / migen-axi

Licence: MIT license
AXI support for Migen/MiSoC

Programming Languages

python
139335 projects - #7 most used programming language

Projects that are alternatives of or similar to migen-axi

symbolator
HDL symbol generator
Stars: ✭ 123 (+485.71%)
Mutual labels:  hdl
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (+142.86%)
Mutual labels:  hdl
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+295.24%)
Mutual labels:  hdl
arv
ARV: Asynchronous RISC-V Go High-level Functional Model
Stars: ✭ 18 (-14.29%)
Mutual labels:  hdl
DFiant
DFiant: A Dataflow Hardware Descripition Language
Stars: ✭ 21 (+0%)
Mutual labels:  hdl
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (+19.05%)
Mutual labels:  hdl
yavhdl
Yet Another VHDL tool
Stars: ✭ 29 (+38.1%)
Mutual labels:  hdl
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (+76.19%)
Mutual labels:  hdl
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (+309.52%)
Mutual labels:  hdl
Technocrats-HacktoberFest
This Repository invites freelancer friendly neighbourhood developers to contribute to open source .
Stars: ✭ 12 (-42.86%)
Mutual labels:  hdl
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-4.76%)
Mutual labels:  hdl
act
ACT hardware description language and core tools.
Stars: ✭ 53 (+152.38%)
Mutual labels:  hdl
hBPF
hBPF = eBPF in hardware
Stars: ✭ 335 (+1495.24%)
Mutual labels:  migen
hdelk
Web-based HDL diagramming tool
Stars: ✭ 51 (+142.86%)
Mutual labels:  hdl
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (+166.67%)
Mutual labels:  hdl
cpu11
Revengineered ancient PDP-11 CPUs, originals and clones
Stars: ✭ 120 (+471.43%)
Mutual labels:  hdl
sv-tests
Test suite designed to check compliance with the SystemVerilog standard.
Stars: ✭ 148 (+604.76%)
Mutual labels:  hdl
icebreaker-amaranth-examples
This repository contains iCEBreaker examples for Amaranth HDL.
Stars: ✭ 26 (+23.81%)
Mutual labels:  hdl
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (+80.95%)
Mutual labels:  hdl
shdl6800
shdl6800: A 6800 processor written in SpinalHDL
Stars: ✭ 22 (+4.76%)
Mutual labels:  hdl

Migen AXI

Build Status Coverage Status

This repo contains some Migen modules created to support some MiSoC features on the Xilinx Zynq SoC. A Zedboard is used for testing, the existing platform from Migen is used as baseline and extended as necessary.

Cores

  • wrapper for PS7

Interconnect

  • AXI2CSR
  • P2P interconnect
  • InterconnectShared
  • Crossbar
  • Writer, AXI3 Slave + CoreLink DMA-330 DMA Controller Peripheral Request Interface (PRI)

By now only P2P interconnect is in actual use, where M_AXI_GP0 is wired to a custom AXI3 slave and M_AXI_GP1 is wired to a AXI2CSR bridge.

Linux Support

  • Device-tree overlay generator for iomem, irqs, firmware

Device-tree overlay is supported by Linux, currently .dts is crafted manually but shall be automatically generated. Overlays with firmware loading has been tested on a 4.9 Linux. To allow for phandles DTS_FLAGS+='-@ -H epapr' may be used.

License

Released under the MIT license, see LICENSE file for info.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].