All Projects → kevinpt → symbolator

kevinpt / symbolator

Licence: MIT license
HDL symbol generator

Programming Languages

python
139335 projects - #7 most used programming language

Projects that are alternatives of or similar to symbolator

xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-79.67%)
Mutual labels:  vhdl, verilog, hdl
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-83.74%)
Mutual labels:  vhdl, verilog, hdl
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-73.98%)
Mutual labels:  vhdl, verilog, hdl
cpu11
Revengineered ancient PDP-11 CPUs, originals and clones
Stars: ✭ 120 (-2.44%)
Mutual labels:  verilog, hdl
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-86.18%)
Mutual labels:  vhdl, verilog
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+164.23%)
Mutual labels:  vhdl, verilog
Hdl checker
Repurposing existing HDL tools to help writing better code
Stars: ✭ 103 (-16.26%)
Mutual labels:  vhdl, verilog
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-73.98%)
Mutual labels:  vhdl, verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (-62.6%)
Mutual labels:  vhdl, verilog
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (-54.47%)
Mutual labels:  verilog, hdl
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (-4.88%)
Mutual labels:  verilog, hdl
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-56.1%)
Mutual labels:  vhdl, verilog
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (+26.83%)
Mutual labels:  vhdl, verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-69.92%)
Mutual labels:  verilog, hdl
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-70.73%)
Mutual labels:  vhdl, verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+24.39%)
Mutual labels:  vhdl, verilog
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (-32.52%)
Mutual labels:  verilog, hdl
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-47.97%)
Mutual labels:  vhdl, verilog
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (-16.26%)
Mutual labels:  vhdl, verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-69.11%)
Mutual labels:  verilog, hdl

http://kevinpt.github.io/symbolator/_static/symbolator_icon.png

Symbolator

Symbolator is a component diagramming tool for VHDL and Verilog. It will parse HDL source files, extract components or modules and render them as an image.

library ieee;
use ieee.std_logic_1164.all;

package demo is
  component demo_device is
    generic (
      SIZE : positive;
      RESET_ACTIVE_LEVEL : std_ulogic := '1'
    );
    port (
      --# {{clocks|}}
      Clock : in std_ulogic;
      Reset : in std_ulogic;

      --# {{control|Named section}}
      Enable : in std_ulogic;
      Data_in : in std_ulogic_vector(SIZE-1 downto 0);
      Data_out : out std_ulogic_vector(SIZE-1 downto 0)
    );
  end component;
end package;
> symbolator -i demo_device.vhdl
Scanning library: .
Creating symbol for demo_device.vhdl "demo_device"
          -> demo_device-demo_device.svg

Produces the following:

Symbolator can render to PNG bitmap images or SVG, PDF, PS, and EPS vector images. SVG is the default.

Requirements

Symbolator requires either Python 2.7 or Python 3.x, Pycairo, and Pango.

The installation script depends on setuptools. The source is written in Python 2.7 syntax but will convert cleanly to Python 3 when the installer passes it through 2to3.

The Pango library is used compute the dimensions of a text layout. There is no standard package to get the Pango Python bindings installed. It is a part of the Gtk+ library which is accessed either through the PyGtk or PyGObject APIs, both of which are supported by Symbolator. You should make sure that one of these libraries is available before installing Symbolator. A Windows installer is available. For Linux distributions you should install the relevant libraries with your package manager.

Licensing

Symbolator is licensed for free commercial and non-commercial use under the terms of the MIT license. The Symbolator Sphinx extension is derived from the Graphviz extension and is BSD licensed.

Download

You can access the Symbolator Git repository from Github. You can install direct from PyPI with the "pip" command if you have it available.

Documentation

The full documentation is available online at the main Symbolator site.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].