All Projects → SinghCoder → Icarus_Verilog

SinghCoder / Icarus_Verilog

Licence: other
This repo contains code snippets written in verilog as part of course Computer Architecture of my university curriculum

Programming Languages

Verilog
626 projects

Projects that are alternatives of or similar to Icarus Verilog

MIPS-pipeline-processor
A pipelined implementation of the MIPS processor featuring hazard detection as well as forwarding
Stars: ✭ 92 (+228.57%)
Mutual labels:  verilog, computer-architecture
tree-sitter-verilog
Verilog grammar for tree-sitter
Stars: ✭ 49 (+75%)
Mutual labels:  verilog
mano-simulator
🖥️ An assembler and hardware simulator for the Mano Basic Computer, a 16 bit computer.
Stars: ✭ 20 (-28.57%)
Mutual labels:  computer-architecture
symbolator
HDL symbol generator
Stars: ✭ 123 (+339.29%)
Mutual labels:  verilog
rules verilator
Bazel build rules for Verilator
Stars: ✭ 14 (-50%)
Mutual labels:  verilog
FPGA NTP SERVER
A FPGA implementation of the NTP and NTS protocols
Stars: ✭ 27 (-3.57%)
Mutual labels:  verilog
CSCvon8
A crazy small 8-bit CPU built with only seventeen 7400-series chips.
Stars: ✭ 86 (+207.14%)
Mutual labels:  verilog
ruby-vpi
Ruby interface to IEEE 1364-2005 Verilog VPI
Stars: ✭ 15 (-46.43%)
Mutual labels:  verilog
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-28.57%)
Mutual labels:  verilog
R8051
8051 soft CPU core. 700-lines statements for 111 instructions . Fully synthesizable Verilog-2001 core.
Stars: ✭ 70 (+150%)
Mutual labels:  verilog
verilog-coding-style
Verilog (SystemVerilog) coding style
Stars: ✭ 36 (+28.57%)
Mutual labels:  verilog
ecs154a-ssii18
Course files for ECS 154A in Summer Session II 2018.
Stars: ✭ 13 (-53.57%)
Mutual labels:  computer-architecture
pcievhost
PCIe (1.0a to 2.0) Virtual host model for verilog
Stars: ✭ 22 (-21.43%)
Mutual labels:  verilog
verismith
Verilog Fuzzer to test the major simulators and sythesisers by generating random, valid Verilog.
Stars: ✭ 74 (+164.29%)
Mutual labels:  verilog
ProjectOberon2013
Project Oberon (New Edition 2013) Unofficial Mirror
Stars: ✭ 92 (+228.57%)
Mutual labels:  verilog
cpu11
Revengineered ancient PDP-11 CPUs, originals and clones
Stars: ✭ 120 (+328.57%)
Mutual labels:  verilog
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
Stars: ✭ 138 (+392.86%)
Mutual labels:  verilog
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (+17.86%)
Mutual labels:  verilog
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (+425%)
Mutual labels:  verilog
PIM NDP papers
No description or website provided.
Stars: ✭ 33 (+17.86%)
Mutual labels:  computer-architecture

Verilog Snippets and Notes

A host for some Verilog-snippets written during Lab Sessions of Computer Architecture Course at BITS Pilani.

Consider giving it a ☆ if the repo helps you in any way

Running a file

  • Compile the verilog file (one with.v extension) using following command
iverilog -o filename.vvp filename.v
  • To see output using $monitor statements run following command
vvp filename.vvp
  • To get graphical waveform output, make sure to add following lines in every test branch
initial
    begin
        $dumpfile("filename.vcd");
        $dumpvars;
    end
  • To see graphical waveform output
gtkwave filename.vcd
  • To include a header file in your program
`include "modulename.v"

Most Common Mistakes in Verilog

  1. All keywords should be in lower case.
  2. Upper case and lower case are distinct in verilog, it is case sensitive
  3. Make sure that the wires are properly declared before usage.
  4. Unwanted spaces will put you in trouble ex. endmodule doesn’t have any space in between.
  5. Module declaration is a statement terminate it with a semicolon.
  6. Module name can’t start with a number and can’t have a special charcters in it.
  7. The output ‘x’ indicates that the signal is still unkown and being evaluated.
  8. In combinational circuits ‘z’ in the output means the signals are not connected properly.

Labs Breakdown

Lab# Labsheet notes topic
1 link link Intro to verilog
2 link link Combinational Circuit Modeling
3 link link Sequential Circuit Modeling
4 link link ALU Design
5 link link Register File Implementation
6 link link Single Cycle Datapath Design
7 link link Multi-Cycle controller Design
8 link link Pipeline Design

References

  1. Verilog HDL by Samir Palnitkar

Disclaimer

  • The codes are not guaranteed to be correct and have not been verified thouroughly, if you find a mistake please feel free to send a PR or contact me via mail.
  • All files on this repository are for educational purpose with no intentions of promoting unfair means in any evaluative component

Commit History Visualizer

GIF

video

  • Above video is made using Visual Source
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].