All Projects → Spirit-of-Oberon → ProjectOberon2013

Spirit-of-Oberon / ProjectOberon2013

Licence: other
Project Oberon (New Edition 2013) Unofficial Mirror

Programming Languages

Modula-2
15 projects
Verilog
626 projects
AMPL
153 projects
shell
77523 projects

Projects that are alternatives of or similar to ProjectOberon2013

vostok
Oberon-07 translator
Stars: ✭ 32 (-65.22%)
Mutual labels:  oberon, oberon-07
oberon-07-compiler
Oberon-07 compiler for x64 (Windows, Linux), x86 (Windows, Linux, KolibriOS), MSP430x{1,2}xx, STM32 Cortex-M3
Stars: ✭ 45 (-51.09%)
Mutual labels:  oberon, oberon-07
Verilog-Practice
HDLBits website practices & solutions
Stars: ✭ 316 (+243.48%)
Mutual labels:  verilog
verilog-coding-style
Verilog (SystemVerilog) coding style
Stars: ✭ 36 (-60.87%)
Mutual labels:  verilog
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
Stars: ✭ 28 (-69.57%)
Mutual labels:  verilog
CSCvon8
A crazy small 8-bit CPU built with only seventeen 7400-series chips.
Stars: ✭ 86 (-6.52%)
Mutual labels:  verilog
R8051
8051 soft CPU core. 700-lines statements for 111 instructions . Fully synthesizable Verilog-2001 core.
Stars: ✭ 70 (-23.91%)
Mutual labels:  verilog
pcievhost
PCIe (1.0a to 2.0) Virtual host model for verilog
Stars: ✭ 22 (-76.09%)
Mutual labels:  verilog
FPGA NTP SERVER
A FPGA implementation of the NTP and NTS protocols
Stars: ✭ 27 (-70.65%)
Mutual labels:  verilog
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
Stars: ✭ 138 (+50%)
Mutual labels:  verilog
karuta
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
Stars: ✭ 89 (-3.26%)
Mutual labels:  verilog
cpu11
Revengineered ancient PDP-11 CPUs, originals and clones
Stars: ✭ 120 (+30.43%)
Mutual labels:  verilog
symbolator
HDL symbol generator
Stars: ✭ 123 (+33.7%)
Mutual labels:  verilog
INT FP MAC
INT8 & FP16 multiplier accumulator (MAC) design with UVM verification completed.
Stars: ✭ 31 (-66.3%)
Mutual labels:  verilog
usbcorev
A full-speed device-side USB peripheral core written in Verilog.
Stars: ✭ 135 (+46.74%)
Mutual labels:  verilog
ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
Stars: ✭ 144 (+56.52%)
Mutual labels:  verilog
rules verilator
Bazel build rules for Verilator
Stars: ✭ 14 (-84.78%)
Mutual labels:  verilog
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-78.26%)
Mutual labels:  verilog
tree-sitter-verilog
Verilog grammar for tree-sitter
Stars: ✭ 49 (-46.74%)
Mutual labels:  verilog
bbcp
BlackBox Cross-Platform (Windows, GNU/Linux, OpenBSD, FreeBSD)
Stars: ✭ 49 (-46.74%)
Mutual labels:  oberon
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].