All Projects → ProjectOberon2013 → Similar Projects or Alternatives

348 Open source projects that are alternatives of or similar to ProjectOberon2013

vostok
Oberon-07 translator
Stars: ✭ 32 (-65.22%)
Mutual labels:  oberon, oberon-07
oberon-07-compiler
Oberon-07 compiler for x64 (Windows, Linux), x86 (Windows, Linux, KolibriOS), MSP430x{1,2}xx, STM32 Cortex-M3
Stars: ✭ 45 (-51.09%)
Mutual labels:  oberon, oberon-07
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-60.87%)
Mutual labels:  verilog
MIPS-pipeline-processor
A pipelined implementation of the MIPS processor featuring hazard detection as well as forwarding
Stars: ✭ 92 (+0%)
Mutual labels:  verilog
Solutions-to-HDLbits-Verilog-sets
Here are my solutions to HDLbits Verilog problem sets (HDLbits: https://hdlbits.01xz.net/wiki/Main_Page).
Stars: ✭ 57 (-38.04%)
Mutual labels:  verilog
pdp6
PDP-6 Emulator
Stars: ✭ 47 (-48.91%)
Mutual labels:  verilog
Verilog-Practice
HDLBits website practices & solutions
Stars: ✭ 316 (+243.48%)
Mutual labels:  verilog
srv32
Simple 3-stage pipeline RISC-V processor
Stars: ✭ 88 (-4.35%)
Mutual labels:  verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-59.78%)
Mutual labels:  verilog
PyChip-py-hcl
A Hardware Construct Language
Stars: ✭ 36 (-60.87%)
Mutual labels:  verilog
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (-35.87%)
Mutual labels:  verilog
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (-63.04%)
Mutual labels:  verilog
Verilog-Gadget
🔧 Verilog plugin for Sublime Text 2/3. It helps to generate a simple testbench, instantiate a module, insert a user-header, repeat codes with formatted incremental/decremental numbers, etc.
Stars: ✭ 25 (-72.83%)
Mutual labels:  verilog
CSCvon8
A crazy small 8-bit CPU built with only seventeen 7400-series chips.
Stars: ✭ 86 (-6.52%)
Mutual labels:  verilog
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-65.22%)
Mutual labels:  verilog
R8051
8051 soft CPU core. 700-lines statements for 111 instructions . Fully synthesizable Verilog-2001 core.
Stars: ✭ 70 (-23.91%)
Mutual labels:  verilog
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+27.17%)
Mutual labels:  verilog
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
Stars: ✭ 28 (-69.57%)
Mutual labels:  verilog
FAST9-Accelerator
FAST-9 Accelerator for Corner Detection
Stars: ✭ 32 (-65.22%)
Mutual labels:  verilog
pcievhost
PCIe (1.0a to 2.0) Virtual host model for verilog
Stars: ✭ 22 (-76.09%)
Mutual labels:  verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-58.7%)
Mutual labels:  verilog
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-65.22%)
Mutual labels:  verilog
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (-9.78%)
Mutual labels:  verilog
karuta
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
Stars: ✭ 89 (-3.26%)
Mutual labels:  verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (-50%)
Mutual labels:  verilog
vcdvcd
Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.
Stars: ✭ 34 (-63.04%)
Mutual labels:  verilog
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-78.26%)
Mutual labels:  verilog
vericert
A formally verified high-level synthesis tool based on CompCert and written in Coq.
Stars: ✭ 63 (-31.52%)
Mutual labels:  verilog
cpu11
Revengineered ancient PDP-11 CPUs, originals and clones
Stars: ✭ 120 (+30.43%)
Mutual labels:  verilog
ARM9-compatible-soft-CPU-core
This ARMv4-compatible CPU core is written in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.
Stars: ✭ 42 (-54.35%)
Mutual labels:  verilog
symbolator
HDL symbol generator
Stars: ✭ 123 (+33.7%)
Mutual labels:  verilog
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (-43.48%)
Mutual labels:  verilog
INT FP MAC
INT8 & FP16 multiplier accumulator (MAC) design with UVM verification completed.
Stars: ✭ 31 (-66.3%)
Mutual labels:  verilog
BlackBox
Oberon Microsystems BlackBox Component Builder port for OpenBSD, GNU/Linux and FreeBSD
Stars: ✭ 24 (-73.91%)
Mutual labels:  oberon
usbcorev
A full-speed device-side USB peripheral core written in Verilog.
Stars: ✭ 135 (+46.74%)
Mutual labels:  verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (+16.3%)
Mutual labels:  verilog
ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
Stars: ✭ 144 (+56.52%)
Mutual labels:  verilog
LVDS-7-to-1-Serializer
An Verilog implementation of 7-to-1 LVDS Serializer. Which can be used for comunicating FPGAs with LVDS TFT Screens.
Stars: ✭ 33 (-64.13%)
Mutual labels:  verilog
verilog-coding-style
Verilog (SystemVerilog) coding style
Stars: ✭ 36 (-60.87%)
Mutual labels:  verilog
verilog-sid-mos6581
MOS6581 SID chip emulator in SystemVerilog
Stars: ✭ 22 (-76.09%)
Mutual labels:  verilog
avr
Reads a state transition system and performs property checking
Stars: ✭ 41 (-55.43%)
Mutual labels:  verilog
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-78.26%)
Mutual labels:  verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-44.57%)
Mutual labels:  verilog
DFFRAM
Standard Cell Library based Memory Compiler using FF/Latch cells
Stars: ✭ 54 (-41.3%)
Mutual labels:  verilog
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (-39.13%)
Mutual labels:  verilog
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
Stars: ✭ 138 (+50%)
Mutual labels:  verilog
OpenROAD-flow-scripts
OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/
Stars: ✭ 124 (+34.78%)
Mutual labels:  verilog
FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
Stars: ✭ 14 (-84.78%)
Mutual labels:  verilog
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (+70.65%)
Mutual labels:  verilog
FPGA NTP SERVER
A FPGA implementation of the NTP and NTS protocols
Stars: ✭ 27 (-70.65%)
Mutual labels:  verilog
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (-28.26%)
Mutual labels:  verilog
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (-35.87%)
Mutual labels:  verilog
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-80.43%)
Mutual labels:  verilog
rules verilator
Bazel build rules for Verilator
Stars: ✭ 14 (-84.78%)
Mutual labels:  verilog
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-72.83%)
Mutual labels:  verilog
verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
Stars: ✭ 21 (-77.17%)
Mutual labels:  verilog
tree-sitter-verilog
Verilog grammar for tree-sitter
Stars: ✭ 49 (-46.74%)
Mutual labels:  verilog
bbcp
BlackBox Cross-Platform (Windows, GNU/Linux, OpenBSD, FreeBSD)
Stars: ✭ 49 (-46.74%)
Mutual labels:  oberon
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (-64.13%)
Mutual labels:  verilog
verismith
Verilog Fuzzer to test the major simulators and sythesisers by generating random, valid Verilog.
Stars: ✭ 74 (-19.57%)
Mutual labels:  verilog
1-60 of 348 similar projects