All Projects → AleksandarKostovic → Riscy Soc

AleksandarKostovic / Riscy Soc

Licence: mit
Riscy-SoC is SoC based on RISC-V CPU core, designed in Verilog

Projects that are alternatives of or similar to Riscy Soc

picorv32 Xilinx
A picorv32-riscv Soc with DMAC and Ethernet controller & lwip & Kirtex7@333MHz
Stars: ✭ 49 (-16.95%)
Mutual labels:  verilog, risc-v
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (+0%)
Mutual labels:  verilog, risc-v
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (+11.86%)
Mutual labels:  verilog, risc-v
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (+128.81%)
Mutual labels:  verilog, risc-v
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (+1700%)
Mutual labels:  verilog, risc-v
E200 opensource
This repository hosts the project for open-source hummingbird E203 RISC processor Core.
Stars: ✭ 1,909 (+3135.59%)
Mutual labels:  verilog, risc-v
srv32
Simple 3-stage pipeline RISC-V processor
Stars: ✭ 88 (+49.15%)
Mutual labels:  verilog, risc-v
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-13.56%)
Mutual labels:  verilog, risc-v
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (+361.02%)
Mutual labels:  verilog, risc-v
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (-18.64%)
Mutual labels:  verilog, risc-v
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (+57.63%)
Mutual labels:  verilog, risc-v
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+9288.14%)
Mutual labels:  verilog, risc-v
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (+30.51%)
Mutual labels:  verilog, risc-v
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+252.54%)
Mutual labels:  verilog, risc-v
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-15.25%)
Mutual labels:  verilog, risc-v
Scr1
SCR1 is a high-quality open-source RISC-V MCU core in Verilog
Stars: ✭ 393 (+566.1%)
Mutual labels:  verilog, risc-v
Fwrisc
Featherweight RISC-V implementation
Stars: ✭ 39 (-33.9%)
Mutual labels:  verilog, risc-v
Megacd mister
Mega CD for MiSTer
Stars: ✭ 42 (-28.81%)
Mutual labels:  verilog
Wbscope
A wishbone controlled scope for FPGA's
Stars: ✭ 50 (-15.25%)
Mutual labels:  verilog
Fusesoc Cores
FuseSoC standard core library
Stars: ✭ 41 (-30.51%)
Mutual labels:  verilog

Riscy-SoC

Riscy-SoC is SoC based on RISC-V CPU core, previously designed in SystemVerilog, but has been adapted to Verilog because current open-source tools dont support SystemVerilog.

This is a re-work of the Icicle CPU, so that it supports 64 bit version and works with open-source tools like APIO.

About the core

The RISC-V core is RV64I compatible CPU with classic RISC 5-stage pipeline.

It has shared instruction and data memory (which is 8KiB in this case).

How to build

Prerequisite: Yosys, IceStorm and NextPnR. You can build it for Lattice iCE 40 family of FPGA's using yosys synthesis in the tool the following way:

git clone https://github.com/AleksandarKostovic/Riscy-SoC.git
cd Riscy-SoC/rtl/cpu

yosys -p 'synth_ice40 -top top -json top.json' top.v               # syntesize into top.json | currently fails but will be fixed
nextpnr-ice40 --hx1k --json top.json --pcf top.pcf --asc top.asc   # run place and route
icepack top.asc top.bin                                            # generate binary bitstream file

After you have created a bitstream for FPGA, ran place and route, you can use whatever bootloader/programmer you have for your FPGA board. If you use TinyFPGA, ICEbreaker or Arty-7, you can use TinyProg to upload the bitstream.

tinyprog -p top.bin
Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].