All Projects → pyVHDLParser → Similar Projects or Alternatives

295 Open source projects that are alternatives of or similar to pyVHDLParser

formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-37.25%)
Mutual labels:  vhdl
PLBART
Official code of our work, Unified Pre-training for Program Understanding and Generation [NAACL 2021].
Stars: ✭ 151 (+196.08%)
Mutual labels:  language-model
Cache
Simple implementation of cache using VHDL
Stars: ✭ 17 (-66.67%)
Mutual labels:  vhdl
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
Stars: ✭ 244 (+378.43%)
Mutual labels:  vhdl
language-planner
Official Code for "Language Models as Zero-Shot Planners: Extracting Actionable Knowledge for Embodied Agents"
Stars: ✭ 84 (+64.71%)
Mutual labels:  language-model
Awesome Model Quantization
A list of papers, docs, codes about model quantization. This repo is aimed to provide the info for model quantization research, we are continuously improving the project. Welcome to PR the works (papers, repositories) that are missed by the repo.
Stars: ✭ 200 (+292.16%)
Mutual labels:  vhdl
ml
machine learning
Stars: ✭ 29 (-43.14%)
Mutual labels:  language-model
Ghdl Yosys Plugin
VHDL synthesis (based on ghdl)
Stars: ✭ 192 (+276.47%)
Mutual labels:  vhdl
cscg
Code Generation as a Dual Task of Code Summarization.
Stars: ✭ 28 (-45.1%)
Mutual labels:  language-model
Uvvm
UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/
Stars: ✭ 191 (+274.51%)
Mutual labels:  vhdl
SiaFpgaMiner
VHDL FPGA design of an optimized Blake2b pipeline to mine Siacoin
Stars: ✭ 58 (+13.73%)
Mutual labels:  vhdl
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (+205.88%)
Mutual labels:  vhdl
symbolator
HDL symbol generator
Stars: ✭ 123 (+141.18%)
Mutual labels:  vhdl
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+200%)
Mutual labels:  vhdl
mlp-gpt-jax
A GPT, made only of MLPs, in Jax
Stars: ✭ 53 (+3.92%)
Mutual labels:  language-model
Fletcher
Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
Stars: ✭ 144 (+182.35%)
Mutual labels:  vhdl
yavhdl
Yet Another VHDL tool
Stars: ✭ 29 (-43.14%)
Mutual labels:  vhdl
Osvvm
OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...
Stars: ✭ 140 (+174.51%)
Mutual labels:  vhdl
dasher-web
Dasher text entry in HTML, CSS, JavaScript, and SVG
Stars: ✭ 34 (-33.33%)
Mutual labels:  language-model
Mega65 Core
MEGA65 FPGA core
Stars: ✭ 137 (+168.63%)
Mutual labels:  vhdl
chainer-notebooks
Jupyter notebooks for Chainer hands-on
Stars: ✭ 23 (-54.9%)
Mutual labels:  language-model
Fmcw3
Two RX-channel 6 GHz FMCW radar design files
Stars: ✭ 126 (+147.06%)
Mutual labels:  vhdl
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (-41.18%)
Mutual labels:  vhdl
Neo430
A very small msp430-compatible customizable soft-core microcontroller-like processor system written in platform-independent VHDL.
Stars: ✭ 120 (+135.29%)
Mutual labels:  vhdl
gpt-j-api
API for the GPT-J language model 🦜. Including a FastAPI backend and a streamlit frontend
Stars: ✭ 248 (+386.27%)
Mutual labels:  language-model
Zpu
The Zylin ZPU
Stars: ✭ 118 (+131.37%)
Mutual labels:  vhdl
lm-scorer
📃Language Model based sentences scoring library
Stars: ✭ 264 (+417.65%)
Mutual labels:  language-model
Artix 7 Hdmi Processing
Receiving and processing 1080p HDMI audio and video on the Artix 7 FPGA
Stars: ✭ 113 (+121.57%)
Mutual labels:  vhdl
tying-wv-and-wc
Implementation for "Tying Word Vectors and Word Classifiers: A Loss Framework for Language Modeling"
Stars: ✭ 39 (-23.53%)
Mutual labels:  language-model
Cosmos Plus Openssd
Cosmos OpenSSD + Hardware and Software source distribution
Stars: ✭ 110 (+115.69%)
Mutual labels:  vhdl
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (-9.8%)
Mutual labels:  vhdl
Vhdl Extras
Flexible VHDL library
Stars: ✭ 109 (+113.73%)
Mutual labels:  vhdl
gdc
Code for the ICLR 2021 paper "A Distributional Approach to Controlled Text Generation"
Stars: ✭ 94 (+84.31%)
Mutual labels:  language-model
Hdl checker
Repurposing existing HDL tools to help writing better code
Stars: ✭ 103 (+101.96%)
Mutual labels:  vhdl
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-50.98%)
Mutual labels:  vhdl
Hdmi2usb Jahanzeb Firmware
Original hand-coded firmware for the HDMI2USB - HDMI/DVI Capture - project
Stars: ✭ 100 (+96.08%)
Mutual labels:  vhdl
bert-movie-reviews-sentiment-classifier
Build a Movie Reviews Sentiment Classifier with Google's BERT Language Model
Stars: ✭ 12 (-76.47%)
Mutual labels:  language-model
Xjtu Tripler
This repository is the backup of XJTU-Tripler project, participating dac19 system design contest
Stars: ✭ 98 (+92.16%)
Mutual labels:  vhdl
CharLM
Character-aware Neural Language Model implemented by PyTorch
Stars: ✭ 32 (-37.25%)
Mutual labels:  language-model
Tpu
TPU, The Test Processing Unit. Or Terrible Processing Unit. A simple 16-bit CPU in VHDL for education as to the dataflow within a CPU. Designed to run on miniSpartan6+.
Stars: ✭ 91 (+78.43%)
Mutual labels:  vhdl
OpenNAS
OpenN@S: Open-source software to NAS automatic VHDL code generation
Stars: ✭ 15 (-70.59%)
Mutual labels:  vhdl
Ghdl
VHDL 2008/93/87 simulator
Stars: ✭ 1,285 (+2419.61%)
Mutual labels:  vhdl
VHDLFormatter
VHDL formatter web online written in typescript
Stars: ✭ 44 (-13.73%)
Mutual labels:  vhdl
Greta
GRETA expansion board for the Amiga 500 computer with Fast RAM, microSD mass storage and Ethernet controller, powered by FPGA technology.
Stars: ✭ 84 (+64.71%)
Mutual labels:  vhdl
Word-Prediction-Ngram
Next Word Prediction using n-gram Probabilistic Model with various Smoothing Techniques
Stars: ✭ 25 (-50.98%)
Mutual labels:  language-model
Zynqbtc
A Bitcoin miner for the Zynq chip utilizing the Zedboard.
Stars: ✭ 74 (+45.1%)
Mutual labels:  vhdl
fpga torture
🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-54.9%)
Mutual labels:  vhdl
Digital Design Lab
Stars: ✭ 74 (+45.1%)
Mutual labels:  vhdl
FPGA-I2C-Minion
A simple I2C minion in VHDL
Stars: ✭ 50 (-1.96%)
Mutual labels:  vhdl
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (+25.49%)
Mutual labels:  vhdl
asr24
24-hour Automatic Speech Recognition
Stars: ✭ 27 (-47.06%)
Mutual labels:  language-model
Q27
27-Queens Puzzle: Massively Parellel Enumeration and Solution Counting
Stars: ✭ 60 (+17.65%)
Mutual labels:  vhdl
Deep-NLP-Resources
Curated list of all NLP Resources
Stars: ✭ 65 (+27.45%)
Mutual labels:  language-model
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+537.25%)
Mutual labels:  vhdl
MinTL
MinTL: Minimalist Transfer Learning for Task-Oriented Dialogue Systems
Stars: ✭ 61 (+19.61%)
Mutual labels:  language-model
CodeT5
Code for CodeT5: a new code-aware pre-trained encoder-decoder model.
Stars: ✭ 390 (+664.71%)
Mutual labels:  language-model
FNet-pytorch
Unofficial implementation of Google's FNet: Mixing Tokens with Fourier Transforms
Stars: ✭ 204 (+300%)
Mutual labels:  language-model
open clip
An open source implementation of CLIP.
Stars: ✭ 1,534 (+2907.84%)
Mutual labels:  language-model
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-37.25%)
Mutual labels:  vhdl
pd3f
🏭 PDF text extraction pipeline: self-hosted, local-first, Docker-based
Stars: ✭ 132 (+158.82%)
Mutual labels:  language-model
61-120 of 295 similar projects