All Projects → pulp-platform → Axi

pulp-platform / Axi

Licence: other
AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

Projects that are alternatives of or similar to Axi

awesome-hwd-tools
A curated list of awesome open source hardware design tools
Stars: ✭ 42 (-81.5%)
Mutual labels:  asic, fpga, hardware
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (+19.38%)
Mutual labels:  fpga, asic, rtl
pygears
HW Design: A Functional Approach
Stars: ✭ 122 (-46.26%)
Mutual labels:  asic, fpga, hardware
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (+30.4%)
Mutual labels:  hardware, fpga, rtl
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-34.36%)
Mutual labels:  fpga, asic, rtl
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (-76.21%)
Mutual labels:  fpga, asic, rtl
Systemrdl Compiler
SystemRDL 2.0 language compiler front-end
Stars: ✭ 95 (-58.15%)
Mutual labels:  fpga, asic
Nyuziprocessor
GPGPU microprocessor architecture
Stars: ✭ 1,351 (+495.15%)
Mutual labels:  hardware, fpga
Echomods
Open source ultrasound processing modules and building blocks
Stars: ✭ 200 (-11.89%)
Mutual labels:  hardware, fpga
Connectal
Connectal is a framework for software-driven hardware development.
Stars: ✭ 117 (-48.46%)
Mutual labels:  hardware, fpga
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (-66.08%)
Mutual labels:  fpga, rtl
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (-53.3%)
Mutual labels:  hardware, fpga
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Stars: ✭ 126 (-44.49%)
Mutual labels:  fpga, asic
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (-8.37%)
Mutual labels:  fpga, asic
Kactus2dev
Kactus2 is a graphical EDA tool based on the IP-XACT standard.
Stars: ✭ 82 (-63.88%)
Mutual labels:  hardware, fpga
Glasgow
Scots Army Knife for electronics
Stars: ✭ 1,374 (+505.29%)
Mutual labels:  hardware, fpga
Cores Swerv El2
SweRV EL2 Core
Stars: ✭ 79 (-65.2%)
Mutual labels:  fpga, rtl
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (-51.54%)
Mutual labels:  fpga, asic
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (-39.65%)
Mutual labels:  hardware, fpga
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Stars: ✭ 142 (-37.44%)
Mutual labels:  hardware, fpga

AXI SystemVerilog Modules for High-Performance On-Chip Communication

CI status GitHub tag (latest SemVer) SHL-0.51 license

This repository provides modules to build on-chip communication networks adhering to the AXI4 or AXI4-Lite standards. For high-performance communication, we implement AXI4+ATOPs from AXI5. For lightweight communication, we implement AXI4-Lite. We aim to provide a complete end-to-end communication platform, including endpoints such as DMA engines and on-chip memory controllers.

Our design goals are:

  • Topology Independence: We provide elementary building blocks such as protocol multiplexers and demultiplexers that allow users to implement any network topology. We also provide commonly used interconnecting components such as a crossbar.
  • Modularity: We favor design by composition over design by configuration where possible. We strive to apply the Unix philosophy to hardware: make each module do one thing well. This means you will more often instantiate our modules back-to-back than change a parameter value to build more specialized networks.
  • Fit for Heterogeneous Networks: Our modules are parametrizable in terms of data width and transaction concurrency. This allows to create optimized networks for a wide range of performance (e.g., bandwidth, concurrency, timing), power, and area requirements. We provide modules such as data width converters that allow to join subnetworks with different properties, creating heterogeneous on-chip networks.
  • Full AXI Standard Compliance.
  • Compatibility with a wide range of (recent versions of) EDA tools and implementation in standardized synthesizable SystemVerilog.

The design and microarchitecture of the modules in this repository is described in this paper. If you use our work in your research, please cite it.

List of Modules

In addition to the documents linked in the following table, we are setting up documentation auto-generated from inline docstrings. (Replace master in that URL with a tag to get the documentation for a specific version.)

Name Description Doc
axi_atop_filter Filters atomic operations (ATOPs), i.e., write transactions that have a non-zero aw_atop value.
axi_burst_splitter Split AXI4 burst transfers into single-beat transactions.
axi_cdc AXI clock domain crossing based on a Gray FIFO implementation.
axi_cut Breaks all combinatorial paths between its input and output.
axi_delayer Synthesizable module which can (randomly) delays AXI channels.
axi_demux Demultiplexes an AXI bus from one slave port to multiple master ports. Doc
axi_dw_converter A data width converter between AXI interfaces of any data width.
axi_dw_downsizer A data width converter between a wide AXI master and a narrower AXI slave.
axi_dw_upsizer A data width converter between a narrow AXI master and a wider AXI slave.
axi_err_slv Always responds with an AXI decode/slave error for transactions which are sent to it.
axi_id_prepend This module prepends/strips the MSB from the AXI IDs.
axi_intf This file defines the interfaces we support.
axi_isolate A module that can isolate downstream slaves from receiving new AXI4 transactions.
axi_join A connector that joins two AXI interfaces.
axi_lite_demux Demultiplexes an AXI4-Lite bus from one slave port to multiple master ports. Doc
axi_lite_join A connector that joins two AXI-Lite interfaces.
axi_lite_mailbox A AXI4-Lite Mailbox with two slave ports and usage triggered irq. Doc
axi_lite_mux Multiplexes AXI4-Lite slave ports down to one master port. Doc
axi_lite_regs AXI4-Lite registers with optional read-only and protection features. Doc
axi_lite_to_apb AXI4-Lite to APB4 protocol converter.
axi_lite_to_axi AXI4-Lite to AXI4 protocol converter.
axi_lite_xbar Fully-connected AXI4-Lite crossbar with an arbitrary number of slave and master ports. Doc
axi_modify_address A connector that allows addresses of AXI requests to be changed.
axi_multicut AXI register which can be used to relax timing pressure on long AXI buses.
axi_mux Multiplexes the AXI4 slave ports down to one master port. Doc
axi_pkg Contains AXI definitions, common structs, and useful helper functions.
axi_serializer Serializes transactions with different IDs to the same ID.
axi_test A set of testbench utilities for AXI interfaces.
axi_to_axi_lite AXI4 to AXI4-Lite protocol converter.
axi_xbar Fully-connected AXI4+ATOP crossbar with an arbitrary number of slave and master ports. Doc

Simulation-Only Modules

In addition to the modules above, which are available in synthesis and simulation, the following modules are available only in simulation. Those modules are widely used in our testbenches, but they are also suitable to build testbenches for AXI modules and systems outside this repository.

Name Description
axi_chan_logger Logs the transactions of an AXI4(+ATOPs) port to files.
axi_driver Low-level driver for AXI4(+ATOPs) that can send and receive individual beats on any channel.
axi_lite_driver Low-level driver for AXI4-Lite that can send and receive individual beats on any channel.
axi_lite_rand_master AXI4-Lite master component that issues random transactions within user-defined constraints.
axi_lite_rand_slave AXI4-Lite slave component that responds to transactions with constrainable random delays and data.
axi_rand_master AXI4(+ATOPs) master component that issues random transactions within user-defined constraints.
axi_rand_slave AXI4(+ATOPs) slave component that responds to transactions with constrainable random delays and data.
axi_scoreboard Scoreboard that models a memory that only gets changed by the monitored AXI4(+ATOPs) port.
axi_sim_mem Infinite memory with AXI4 slave port.

Atomic Operations

AXI4+ATOPs means the full AXI4 specification plus atomic operations (ATOPs) as defined in Section E2.1 of the AMBA5 specification. This has the following implications for modules that do not implement ATOPs and systems that include such modules:

  • Masters that do not issue ATOPs must set aw_atop to '0.
  • Slaves that do not support ATOPs must specify this in their interface documentation and can ignore the aw_atop signal.
  • System designers are responsible for ensuring that
    1. slaves that do not support ATOPs are behind an axi_atop_filter if any master could issue an ATOP to such slaves and
    2. the aw_atop signal is well-defined at the input of any (non-AXI4-Lite) module in this repository.

Masters and slaves that do support ATOPs must adhere to Section E2.1 of the AMBA5 specification.

Which EDA Tools Are Supported?

Our code is written in standard SystemVerilog (IEEE 1800-2012, to be precise), so the more important question is: Which subset of SystemVerilog does your EDA tool support?

We aim to be compatible with a wide range of EDA tools. For this reason, we strive to use as simple language constructs as possible, especially for our synthesizable modules. We encourage contributions that further simplify our code to make it compatible with even more EDA tools. We also welcome contributions that work around problems that specific EDA tools may have with our code, as long as:

  • the EDA tool is reasonably widely used,
  • recent versions of the EDA tool are affected,
  • the workaround does not break functionality in other tools, and
  • the workaround does not significantly complicate code or add maintenance overhead.

All code in each release and on the default branch is tested on a recent version of at least one industry-standard RTL simulator and synthesizer. You can examine the CI settings to find out which version of which tool we are running.

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].