All Projects → TM90 → awesome-hwd-tools

TM90 / awesome-hwd-tools

Licence: GPL-3.0 license
A curated list of awesome open source hardware design tools

Projects that are alternatives of or similar to awesome-hwd-tools

pygears
HW Design: A Functional Approach
Stars: ✭ 122 (+190.48%)
Mutual labels:  asic, fpga, hardware
Axi
AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication
Stars: ✭ 227 (+440.48%)
Mutual labels:  asic, fpga, hardware
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Stars: ✭ 126 (+200%)
Mutual labels:  asic, fpga
DFiant
DFiant: A Dataflow Hardware Descripition Language
Stars: ✭ 21 (-50%)
Mutual labels:  asic, fpga
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (+161.9%)
Mutual labels:  asic, fpga
Riscv Cores List
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 471 (+1021.43%)
Mutual labels:  asic, fpga
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (+942.86%)
Mutual labels:  asic, fpga
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+395.24%)
Mutual labels:  asic, fpga
PeakRDL-uvm
Generate UVM register model from compiled SystemRDL input
Stars: ✭ 25 (-40.48%)
Mutual labels:  asic, fpga
Systemrdl Compiler
SystemRDL 2.0 language compiler front-end
Stars: ✭ 95 (+126.19%)
Mutual labels:  asic, fpga
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (+547.62%)
Mutual labels:  asic, fpga
Cva6
The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux
Stars: ✭ 1,144 (+2623.81%)
Mutual labels:  asic, fpga
Embedded Neural Network
collection of works aiming at reducing model sizes or the ASIC/FPGA accelerator for machine learning
Stars: ✭ 495 (+1078.57%)
Mutual labels:  asic, fpga
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Stars: ✭ 131 (+211.9%)
Mutual labels:  asic, fpga
FPGA CryptoNight V7
FPGA CryptoNight V7 Minner
Stars: ✭ 21 (-50%)
Mutual labels:  asic, fpga
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (+104.76%)
Mutual labels:  asic, fpga
PeakRDL-ipxact
Import and export IP-XACT XML register models
Stars: ✭ 21 (-50%)
Mutual labels:  asic, fpga
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (+28.57%)
Mutual labels:  asic, fpga
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (+545.24%)
Mutual labels:  asic, fpga
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (+254.76%)
Mutual labels:  asic, fpga

awesome-hwd-tools

A curated list of awesome open source hardware design tools with a focus on chip design.

For electronic hardware tools without a focus on chip design see:

https://github.com/kitspace/awesome-electronics

Inspired by awesome-python.

Semi Custom Design/ FPGAs

Nic30/hdlConverter - Python System-Verilog/VHDL Parser

christiklein/simpy - discrite event based simulation framework

chipmuenk/pyFDA - A python tool to design time discrete filters

efabless/openlane - Automated RTL to GDS flow based on openRoad, Yosys and more...

ahmed-agiza/EDAViewer - EDAV is a cloud-based open-source viewer for electronic design automation (EDA) design files (LEF, DEF)

Modelling

cornell-brg/pymtl3 - hardware modeling framework

mortbopet/VSRTL - Visual Simulation of Register Transfer Logic

Hardware Description Languages

freechipsproject/Chisel - Hardware Description Language embedded in Scala developed at UC Berkeley

phanrahan/Magma - A Hardware Description Language embedded in Python

freechipsproject/firrtl - Intermediate representation for rtl (used by Chisel and Magma)

myhdl/MyHDL - Python as a Hardware Description and Verification Language

clash-lang/clash-compiler - A Hardware Description Language written and inspired by Haskell

A much more detailed and specific list for hardware description languages can be found at drom/awesome-hdl.

Wave Viewers

gtkwave - GTK based waveform viewer

wavedrom/wavedrom - Timing Diagrams in Java Script

Simulation

steveicarus/iverilog - Icarus Verilog Simulator

ghdl/ghdl - VHDL Simulator

Synthesis

YosysHQ/yosys - Synthesis Flow

Timing Analysis

abk-openroad/OpenSTA - static timing analysis

OpenTimer/OpenTimer - timing analysis tool for vlsi systems

Verification

YosysHQ/SymbiYosys - formal verification flow and tool

cocotb/cocotb - Creating Verilog/VHDL testbenches with python

Open Source PDK

leviathanch/libresiliconprocess - A 1um open process specification

google/skywater-pdk - Open Source Process SkyWater 130nm

Full Custom Design

heitzmann/gdspy - manipulating GDSII files in Python

unihd-cag/skillbridge - A seamless python to Cadence Virtuoso Skill interface

rbzentrum/SPAM - SPAM is a package management system for Cadence SKILL

rbzentrum/ml2tikz - Virtuoso layout to tikzpicture

MatthewLoveQUB/SKILL_Tools - Skill++ Tools including a test framework

EDDRSoftware/oaFileParser - oaFile Parser

scikit-rf/scikit-rf - RF and Microwave Design in scikit

mph-/lcapy - Lcapy is a Python package for linear circuit analysis. It uses SymPy for symbolic mathematics.

YosysHQ/PADRING - A padring generator for asics

DegateCommunity/Degate - Tool for VLSI reverse engineering

Layout Generation/ Manipulation

ucb-art/BAG_framework - Berkeley Analog Generator

VLSIDA/OpenRAM - open-source SRAM Compiler

KLayout/klayout - scriptable Layout Viewer and Editor

Simulation

ngspice - Spice Simulator

FabriceSalvaire/pyspice - Simulating and creating Spice Circuits with Python

Mixed Signal Design

Isotel/mixedsim - A mixed signal simulation approach using ngspice and yosys providing a library mapping to spice

Documentation

SchemDraw - producing circuit diagrams with python

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].