All Projects → yavhdl → Similar Projects or Alternatives

248 Open source projects that are alternatives of or similar to yavhdl

xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-13.79%)
Mutual labels:  vhdl, eda, hdl
vboard
Virtual development board for HDL design
Stars: ✭ 32 (+10.34%)
Mutual labels:  vhdl, hdl
symbolator
HDL symbol generator
Stars: ✭ 123 (+324.14%)
Mutual labels:  vhdl, hdl
act
ACT hardware description language and core tools.
Stars: ✭ 53 (+82.76%)
Mutual labels:  eda, hdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+831.03%)
Mutual labels:  vhdl, eda
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-31.03%)
Mutual labels:  vhdl, hdl
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (+10.34%)
Mutual labels:  vhdl, eda
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (+58.62%)
Mutual labels:  vhdl
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+303.45%)
Mutual labels:  hdl
VHDLFormatter
VHDL formatter web online written in typescript
Stars: ✭ 44 (+51.72%)
Mutual labels:  vhdl
FIFA-2019-Analysis
This is a project based on the FIFA World Cup 2019 and Analyzes the Performance and Efficiency of Teams, Players, Countries and other related things using Data Analysis and Data Visualizations
Stars: ✭ 28 (-3.45%)
Mutual labels:  eda
shdl6800
shdl6800: A 6800 processor written in SpinalHDL
Stars: ✭ 22 (-24.14%)
Mutual labels:  hdl
kicad-jlcpcb-tools
Plugin to generate BOM + CPL files for JLCPCB, assigning LCSC part numbers directly from the plugin, query the JLCPCB parts database, lookup datasheets and much more.
Stars: ✭ 537 (+1751.72%)
Mutual labels:  eda
NLPDataAugmentation
Chinese NLP Data Augmentation, BERT Contextual Augmentation
Stars: ✭ 94 (+224.14%)
Mutual labels:  eda
eventcatalog
Discover, Explore and Document your Event Driven Architectures powered by Markdown.
Stars: ✭ 392 (+1251.72%)
Mutual labels:  eda
fpga torture
🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-20.69%)
Mutual labels:  vhdl
vhdl-hdmi-out
HDMI Out VHDL code for 7-series Xilinx FPGAs
Stars: ✭ 36 (+24.14%)
Mutual labels:  vhdl
wal
WAL enables programmable waveform analysis.
Stars: ✭ 36 (+24.14%)
Mutual labels:  eda
padring
A padring generator for ASICs
Stars: ✭ 19 (-34.48%)
Mutual labels:  eda
Limbo
Library for VLSI CAD Design Useful parsers and solvers' api are implemented.
Stars: ✭ 84 (+189.66%)
Mutual labels:  eda
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (+27.59%)
Mutual labels:  hdl
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-41.38%)
Mutual labels:  vhdl
Orca
RISC-V by VectorBlox
Stars: ✭ 248 (+755.17%)
Mutual labels:  vhdl
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (+31.03%)
Mutual labels:  hdl
A2i
Stars: ✭ 214 (+637.93%)
Mutual labels:  vhdl
Bladerf Wiphy
bladeRF-wiphy is an open-source IEEE 802.11 compatible software defined radio VHDL modem
Stars: ✭ 203 (+600%)
Mutual labels:  vhdl
Dockerize-EDA
[WIP] Dockerize Synopsys/Cadence EDA tools
Stars: ✭ 57 (+96.55%)
Mutual labels:  eda
spydrnet
A flexible framework for analyzing and transforming FPGA netlists. Official repository.
Stars: ✭ 49 (+68.97%)
Mutual labels:  eda
descriptr
Generate descriptive statistics
Stars: ✭ 34 (+17.24%)
Mutual labels:  eda
Sparkora
Powerful rapid automatic EDA and feature engineering library with a very easy to use API 🌟
Stars: ✭ 51 (+75.86%)
Mutual labels:  eda
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (+93.1%)
Mutual labels:  hdl
Fpga displayport
An implementation of DisplayPort protocol for FPGAs
Stars: ✭ 192 (+562.07%)
Mutual labels:  vhdl
captouch
👇 Add capacitive touch buttons to any FPGA!
Stars: ✭ 96 (+231.03%)
Mutual labels:  vhdl
SiaFpgaMiner
VHDL FPGA design of an optimized Blake2b pipeline to mine Siacoin
Stars: ✭ 58 (+100%)
Mutual labels:  vhdl
KiCad sharp
A C# library wrapping some of KiCad's functionallity, allowing for some features not in the software and programmatic circuit creation
Stars: ✭ 28 (-3.45%)
Mutual labels:  eda
pykicad
Library for working with KiCAD file formats
Stars: ✭ 46 (+58.62%)
Mutual labels:  eda
Learning
@elahe-dastan / @1995parham training and testing repository 📚 🤓
Stars: ✭ 53 (+82.76%)
Mutual labels:  vhdl
migen-axi
AXI support for Migen/MiSoC
Stars: ✭ 21 (-27.59%)
Mutual labels:  hdl
MSXPi
Interface for MSX to Connect and use Raspberry Pi resources
Stars: ✭ 61 (+110.34%)
Mutual labels:  vhdl
FPGA-I2C-Minion
A simple I2C minion in VHDL
Stars: ✭ 50 (+72.41%)
Mutual labels:  vhdl
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+1020.69%)
Mutual labels:  vhdl
icebreaker-amaranth-examples
This repository contains iCEBreaker examples for Amaranth HDL.
Stars: ✭ 26 (-10.34%)
Mutual labels:  hdl
flirt
Are you ready to FLIRT with your wearable data?
Stars: ✭ 41 (+41.38%)
Mutual labels:  eda
Simulacra
Simple and Ideal Circuit Simulation
Stars: ✭ 12 (-58.62%)
Mutual labels:  eda
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+86.21%)
Mutual labels:  vhdl
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (+3.45%)
Mutual labels:  vhdl
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
Stars: ✭ 244 (+741.38%)
Mutual labels:  vhdl
Awesome Model Quantization
A list of papers, docs, codes about model quantization. This repo is aimed to provide the info for model quantization research, we are continuously improving the project. Welcome to PR the works (papers, repositories) that are missed by the repo.
Stars: ✭ 200 (+589.66%)
Mutual labels:  vhdl
data-viz-utils
Functions for easily making publication-quality figures with matplotlib.
Stars: ✭ 16 (-44.83%)
Mutual labels:  eda
Ghdl Yosys Plugin
VHDL synthesis (based on ghdl)
Stars: ✭ 192 (+562.07%)
Mutual labels:  vhdl
OpenNAS
OpenN@S: Open-source software to NAS automatic VHDL code generation
Stars: ✭ 15 (-48.28%)
Mutual labels:  vhdl
Uvvm
UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/
Stars: ✭ 191 (+558.62%)
Mutual labels:  vhdl
OpenROAD-flow-scripts
OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/
Stars: ✭ 124 (+327.59%)
Mutual labels:  eda
Potato
A simple RISC-V processor for use in FPGA designs.
Stars: ✭ 181 (+524.14%)
Mutual labels:  vhdl
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (+437.93%)
Mutual labels:  vhdl
Cache
Simple implementation of cache using VHDL
Stars: ✭ 17 (-41.38%)
Mutual labels:  vhdl
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+186.21%)
Mutual labels:  hdl
Hardh264
A hardware h264 video encoder written in VHDL. Designed to be synthesized into an FPGA. Initial testing is using Xilinx tools and FPGAs but it is not specific to Xilinx.
Stars: ✭ 155 (+434.48%)
Mutual labels:  vhdl
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+427.59%)
Mutual labels:  vhdl
DigitalComm.jl
Julia module for digital communication tools.
Stars: ✭ 25 (-13.79%)
Mutual labels:  eda
1-60 of 248 similar projects