All Projects → async_fifo → Similar Projects or Alternatives

773 Open source projects that are alternatives of or similar to async_fifo

xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-78.63%)
Mutual labels:  fpga, verilog, synthesis, hdl
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (-26.5%)
Mutual labels:  fpga, verilog, hdl
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (-29.06%)
Mutual labels:  fpga, verilog, hdl
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (-56.41%)
Mutual labels:  fpga, verilog, hdl
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-68.38%)
Mutual labels:  fpga, verilog, hdl
Deep-DarkFantasy
Global Dark Mode for ALL apps on ANY platforms.
Stars: ✭ 16 (-86.32%)
Mutual labels:  fpga, verilog, verilog-hdl
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (+27.35%)
Mutual labels:  fpga, verification, verilog
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (+132.48%)
Mutual labels:  fpga, verification, verilog
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (-5.98%)
Mutual labels:  fpga, verilog, synthesis
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (+17.09%)
Mutual labels:  fpga, verilog, synthesis
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-67.52%)
Mutual labels:  fpga, verilog, hdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+130.77%)
Mutual labels:  fpga, verilog, synthesis
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (+298.29%)
Mutual labels:  fpga, verilog, synthesis
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (-52.14%)
Mutual labels:  verilog, hdl
Jt gng
CAPCOM arcade hardware accurately replicated on MiST and MiSTer FPGA platforms. It covers Ghosts'n Goblins, 1942, 1943, Commando, F1-Dream, GunSmoke, Tiger Road, Black Tiger, Bionic Commando, Higemaru, Street Fighter and Vulgus.
Stars: ✭ 65 (-44.44%)
Mutual labels:  fpga, verilog
Antikernel
The Antikernel operating system project
Stars: ✭ 75 (-35.9%)
Mutual labels:  fpga, verilog
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (-20.51%)
Mutual labels:  fpga, verilog
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (-34.19%)
Mutual labels:  fpga, verilog
Vgasim
A Video display simulator
Stars: ✭ 94 (-19.66%)
Mutual labels:  fpga, verilog
Nyuziprocessor
GPGPU microprocessor architecture
Stars: ✭ 1,351 (+1054.7%)
Mutual labels:  fpga, verilog
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (-70.94%)
Mutual labels:  fpga, verilog
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Stars: ✭ 126 (+7.69%)
Mutual labels:  fpga, verilog
Wbuart32
A simple, basic, formally verified UART controller
Stars: ✭ 133 (+13.68%)
Mutual labels:  fpga, verilog
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (-43.59%)
Mutual labels:  fpga, verilog
Autofpga
A utility for Composing FPGA designs from Peripherals
Stars: ✭ 108 (-7.69%)
Mutual labels:  fpga, verilog
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (+15.38%)
Mutual labels:  fpga, verilog
Openwifi
open-source IEEE 802.11 WiFi baseband FPGA (chip) design
Stars: ✭ 2,257 (+1829.06%)
Mutual labels:  fpga, verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+30.77%)
Mutual labels:  fpga, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-45.3%)
Mutual labels:  fpga, verilog
Core jpeg
High throughput JPEG decoder in Verilog for FPGA
Stars: ✭ 64 (-45.3%)
Mutual labels:  fpga, verilog
Symbiflow Examples
Example designs showing different ways to use SymbiFlow toolchains.
Stars: ✭ 71 (-39.32%)
Mutual labels:  fpga, verilog
Fpga101 Workshop
FPGA 101 - Workshop materials
Stars: ✭ 54 (-53.85%)
Mutual labels:  fpga, verilog
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (+34.19%)
Mutual labels:  fpga, verilog
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (-53.85%)
Mutual labels:  fpga, verilog
Connectal
Connectal is a framework for software-driven hardware development.
Stars: ✭ 117 (+0%)
Mutual labels:  fpga, verilog
Vm80a
i8080 precise replica in Verilog, based on reverse engineering of real die
Stars: ✭ 114 (-2.56%)
Mutual labels:  fpga, verilog
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Stars: ✭ 131 (+11.97%)
Mutual labels:  fpga, verilog
Verilog Generator Of Neural Net Digit Detector For Fpga
Verilog Generator of Neural Net Digit Detector for FPGA
Stars: ✭ 187 (+59.83%)
Mutual labels:  fpga, verilog
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (+17.09%)
Mutual labels:  fpga, verilog
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Stars: ✭ 205 (+75.21%)
Mutual labels:  fpga, verilog
Convolution network on fpga
CNN acceleration on virtex-7 FPGA with verilog HDL
Stars: ✭ 236 (+101.71%)
Mutual labels:  fpga, verilog
Electron
A mixed signal netlist language (pre-alpha)
Stars: ✭ 52 (-55.56%)
Mutual labels:  fpga, verilog
Technocrats-HacktoberFest
This Repository invites freelancer friendly neighbourhood developers to contribute to open source .
Stars: ✭ 12 (-89.74%)
Mutual labels:  hdl, verilog-hdl
Openwifi Hw
FPGA/hardware design of openwifi
Stars: ✭ 181 (+54.7%)
Mutual labels:  fpga, verilog
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+77.78%)
Mutual labels:  fpga, verilog
Wb2axip
Bus bridges and other odds and ends
Stars: ✭ 177 (+51.28%)
Mutual labels:  fpga, verilog
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-53.85%)
Mutual labels:  fpga, verilog
shdl6800
shdl6800: A 6800 processor written in SpinalHDL
Stars: ✭ 22 (-81.2%)
Mutual labels:  fpga, hdl
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-85.47%)
Mutual labels:  fpga, verilog
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-58.97%)
Mutual labels:  fpga, verilog
Fpga Chip8
CHIP-8 console on FPGA
Stars: ✭ 169 (+44.44%)
Mutual labels:  fpga, verilog
Basic verilog
Must-have verilog systemverilog modules
Stars: ✭ 247 (+111.11%)
Mutual labels:  fpga, verilog
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (+42.74%)
Mutual labels:  fpga, verilog
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+177.78%)
Mutual labels:  fpga, verilog
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-84.62%)
Mutual labels:  fpga, verilog
yafpgatetris
Yet Another Tetris on FPGA Implementation
Stars: ✭ 29 (-75.21%)
Mutual labels:  fpga, verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-56.41%)
Mutual labels:  fpga, verilog
FPGA ThreeLevelStorage
【原创,已被编入官方教材】Three-level storage subsystem(SD+DDR2 SDRAM+Cache), based on Nexys4 FPGA board. 同济大学计算机系统结构课程设计,FPGA三级存储子系统。
Stars: ✭ 86 (-26.5%)
Mutual labels:  fpga, verilog
icebreaker-amaranth-examples
This repository contains iCEBreaker examples for Amaranth HDL.
Stars: ✭ 26 (-77.78%)
Mutual labels:  fpga, hdl
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-82.91%)
Mutual labels:  fpga, verilog
1-60 of 773 similar projects