All Projects → Fwrisc → Similar Projects or Alternatives

442 Open source projects that are alternatives of or similar to Fwrisc

Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (+97.44%)
Mutual labels:  verilog, risc-v
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (+246.15%)
Mutual labels:  verilog, risc-v
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (+69.23%)
Mutual labels:  verilog, risc-v
Riscy Soc
Riscy-SoC is SoC based on RISC-V CPU core, designed in Verilog
Stars: ✭ 59 (+51.28%)
Mutual labels:  verilog, risc-v
E200 opensource
This repository hosts the project for open-source hummingbird E203 RISC processor Core.
Stars: ✭ 1,909 (+4794.87%)
Mutual labels:  verilog, risc-v
picorv32 Xilinx
A picorv32-riscv Soc with DMAC and Ethernet controller & lwip & Kirtex7@333MHz
Stars: ✭ 49 (+25.64%)
Mutual labels:  verilog, risc-v
Scr1
SCR1 is a high-quality open-source RISC-V MCU core in Verilog
Stars: ✭ 393 (+907.69%)
Mutual labels:  verilog, risc-v
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (+51.28%)
Mutual labels:  verilog, risc-v
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+28.21%)
Mutual labels:  verilog, risc-v
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (+138.46%)
Mutual labels:  verilog, risc-v
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (+2623.08%)
Mutual labels:  verilog, risc-v
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (+23.08%)
Mutual labels:  verilog, risc-v
srv32
Simple 3-stage pipeline RISC-V processor
Stars: ✭ 88 (+125.64%)
Mutual labels:  verilog, risc-v
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (+30.77%)
Mutual labels:  verilog, risc-v
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+433.33%)
Mutual labels:  verilog, risc-v
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (+597.44%)
Mutual labels:  verilog, risc-v
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+14102.56%)
Mutual labels:  verilog, risc-v
Sha512
Verilog implementation of the SHA-512 hash function.
Stars: ✭ 10 (-74.36%)
Mutual labels:  verilog
Zbasic
A bare bones, basic, ZipCPU system designed for both testing and quick integration into new systems
Stars: ✭ 27 (-30.77%)
Mutual labels:  verilog
Wb sdram ctrl
SDRAM controller with multiple wishbone slave ports
Stars: ✭ 9 (-76.92%)
Mutual labels:  verilog
Novena Afe Hs Fpga
High Speed Analog Front End FPGA Firmware for Novena PVT1
Stars: ✭ 8 (-79.49%)
Mutual labels:  verilog
Vspi
Verilog implementation of an SPI slave interface. Intially targetted for Atlys devkit (Xilinx Spartan-6) controlled by TotalPhase Cheetah USB/SPI adapter
Stars: ✭ 32 (-17.95%)
Mutual labels:  verilog
A500 8mb Fastram
8MB FastRAM Board for the Amiga 500 & Amiga 500+
Stars: ✭ 28 (-28.21%)
Mutual labels:  verilog
Ocpi
Semi-private RTL development upstream of OpenCPI - this is *not* the OpenCPI repo!
Stars: ✭ 24 (-38.46%)
Mutual labels:  verilog
Fftdemo
A demonstration showing how several components can be compsed to build a simulated spectrogram
Stars: ✭ 23 (-41.03%)
Mutual labels:  verilog
Pdfparser
Stars: ✭ 21 (-46.15%)
Mutual labels:  verilog
Tf530
tf530
Stars: ✭ 22 (-43.59%)
Mutual labels:  verilog
80211scrambler
Tools for working with the 802.11B scrambler when writing Packet-in-Packet exploits.
Stars: ✭ 10 (-74.36%)
Mutual labels:  verilog
Riscv Megaproject
A series of (practise) projects of RISC-V cores. All cores will support at least the I instruction set. Expect bugs/limitations for earlier ones
Stars: ✭ 29 (-25.64%)
Mutual labels:  verilog
Four Color Theorem Maintenance
Fixed FCT proof for latest coq and ssreflect
Stars: ✭ 9 (-76.92%)
Mutual labels:  verilog
Verilog Utils
native Verilog pcap, littletoe, bcd, xml and hash modules, with Icarus testbenches
Stars: ✭ 33 (-15.38%)
Mutual labels:  verilog
Indirectly Indexed 2d Ternary Content Addressable Memory Tcam
Modular SRAM-based indirectly-indexed 2D hierarchical-search Ternary Content Addressable Memory (II-2D-TCAM)
Stars: ✭ 9 (-76.92%)
Mutual labels:  verilog
Fpga Accelerator For Aes Lenet Vgg16
FPGA/AES/LeNet/VGG16
Stars: ✭ 28 (-28.21%)
Mutual labels:  verilog
Amiga2000 Gfxcard
MNT VA2000, an Open Source Amiga 2/3/4000 Graphics Card (Zorro II/III), written in Verilog
Stars: ✭ 942 (+2315.38%)
Mutual labels:  verilog
Vga to ascii
Realtime VGA to ASCII Art converter
Stars: ✭ 35 (-10.26%)
Mutual labels:  verilog
Aoocs
The OpenCores aoOCS SoC is a Wishbone compatible implementation of most of the Amiga Original Chip Set (OCS) and computer functionality. aoOCS is not related in any way with Minimig - it is a new and independent Amiga OCS implementation.
Stars: ✭ 23 (-41.03%)
Mutual labels:  verilog
Reko
Reko is a binary decompiler.
Stars: ✭ 942 (+2315.38%)
Mutual labels:  risc-v
Cgragenerator
Stars: ✭ 22 (-43.59%)
Mutual labels:  verilog
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (-17.95%)
Mutual labels:  verilog
Lenet accelerator
A Lenet ASIC Accelerator targeting minimum number of cycles
Stars: ✭ 17 (-56.41%)
Mutual labels:  verilog
99tsp
The 99 Traveling Salespeople Project
Stars: ✭ 21 (-46.15%)
Mutual labels:  verilog
Upduino Ov7670 Camera
Design to connect Lattice Ultraplus FPGA to OV7670 Camera Module
Stars: ✭ 17 (-56.41%)
Mutual labels:  verilog
Verilog Vga Controller
A very simple VGA controller written in verilog
Stars: ✭ 16 (-58.97%)
Mutual labels:  verilog
Mips48pipelinecpu
冯爱民老师《计算机组成原理A》课程设计
Stars: ✭ 37 (-5.13%)
Mutual labels:  verilog
Higan Verilog
This is a higan/Verilator co-simulation example/framework
Stars: ✭ 35 (-10.26%)
Mutual labels:  verilog
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-20.51%)
Mutual labels:  verilog
Verilog Osx
Barerbones OSX based Verilog simulation toolchain.
Stars: ✭ 21 (-46.15%)
Mutual labels:  verilog
Fpga Sram
mystorm sram test
Stars: ✭ 16 (-58.97%)
Mutual labels:  verilog
K1801
1801 series ULA reverse engineering
Stars: ✭ 16 (-58.97%)
Mutual labels:  verilog
Can
CAN Protocol Controller
Stars: ✭ 20 (-48.72%)
Mutual labels:  verilog
Netlist Graph
Java library for parsing and manipulating graph representations of gate-level Verilog netlists
Stars: ✭ 7 (-82.05%)
Mutual labels:  verilog
Busblaster
KT-Link compatible buffer for the Bus Blaster v3
Stars: ✭ 6 (-84.62%)
Mutual labels:  verilog
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+2356.41%)
Mutual labels:  verilog
Cs231n Project
CNN accelerator
Stars: ✭ 15 (-61.54%)
Mutual labels:  verilog
Ie12
A (very) minimal web browser for FPGAs implemented in Verilog
Stars: ✭ 6 (-84.62%)
Mutual labels:  verilog
Naivecpu
A CPU that implementing THCO-MIPS16 instruction set.
Stars: ✭ 5 (-87.18%)
Mutual labels:  verilog
Pitchshifter
Change the pitch of your voice in real-time!
Stars: ✭ 15 (-61.54%)
Mutual labels:  verilog
Fpga
related to Spartan6 FPGA
Stars: ✭ 5 (-87.18%)
Mutual labels:  verilog
Co4618
This repo is for the 4618 group nember to share code.
Stars: ✭ 5 (-87.18%)
Mutual labels:  verilog
Diy openmips
實作《自己動手寫CPU》書上的程式碼
Stars: ✭ 35 (-10.26%)
Mutual labels:  verilog
1-60 of 442 similar projects