All Projects → Spi Fpga → Similar Projects or Alternatives

650 Open source projects that are alternatives of or similar to Spi Fpga

Hdmi2usb Numato Opsis Sample Code
Example code for the Numato Opsis board, the first HDMI2USB production board.
Stars: ✭ 40 (-20%)
Mutual labels:  vhdl
Fusesoc
Package manager and build abstraction tool for FPGA/ASIC development
Stars: ✭ 607 (+1114%)
Mutual labels:  fpga
Vhdl Mips Pipeline Microprocessor
VHDL-Mips-Pipeline-Microprocessor
Stars: ✭ 12 (-76%)
Mutual labels:  vhdl
Unifi Api Client
A PHP API client class to interact with Ubiquiti's UniFi Controller API
Stars: ✭ 602 (+1104%)
Mutual labels:  controller
Embedded Neural Network
collection of works aiming at reducing model sizes or the ASIC/FPGA accelerator for machine learning
Stars: ✭ 495 (+890%)
Mutual labels:  fpga
Jetpack Musicplayer
即使不用云音乐听曲儿,也请务必收藏好该库!🔥 一行代码即可接入,音乐播放控制组件 - Even if you don't listen to the music by Spotify, be sure to collect this library, please! 🔥 This music player component can be accessed by only one line of code. Supporting by LiveData & AndroidX.
Stars: ✭ 577 (+1054%)
Mutual labels:  controller
Rewire
Experimental compiler for a subset of Haskell to VHDL
Stars: ✭ 10 (-80%)
Mutual labels:  vhdl
Luma.oled
Python module to drive a SSD1306 / SSD1309 / SSD1322 / SSD1325 / SSD1327 / SSD1331 / SSD1351 / SH1106 OLED
Stars: ✭ 560 (+1020%)
Mutual labels:  spi
Open Source Fpga Bitcoin Miner
A completely open source implementation of a Bitcoin Miner for Altera and Xilinx FPGAs. This project hopes to promote the free and open development of FPGA based mining solutions and secure the future of the Bitcoin project as a whole. A binary release is currently available for the Terasic DE2-115 Development Board, and there are compile-able projects for numerous boards.
Stars: ✭ 989 (+1878%)
Mutual labels:  vhdl
Uhd
The USRP™ Hardware Driver Repository
Stars: ✭ 544 (+988%)
Mutual labels:  fpga
Nexyspsram
AXI PSRAM Controller IP for use with Digilent Nexys 4
Stars: ✭ 7 (-86%)
Mutual labels:  vhdl
Gplgpu
GPL v3 2D/3D graphics engine in verilog
Stars: ✭ 515 (+930%)
Mutual labels:  vhdl
Ecp5 Pcie
Mirror of https://codeberg.org/ECP5-PCIe/ECP5-PCIe
Stars: ✭ 49 (-2%)
Mutual labels:  fpga
Nmigen
A refreshed Python toolbox for building complex digital hardware
Stars: ✭ 509 (+918%)
Mutual labels:  fpga
Tenyr
Simple, orthogonal 32-bit computer architecture and environment
Stars: ✭ 24 (-52%)
Mutual labels:  fpga
Tornadovm
TornadoVM: A practical and efficient heterogeneous programming framework for managed languages
Stars: ✭ 479 (+858%)
Mutual labels:  fpga
Prjxray
Documenting the Xilinx 7-series bit-stream format.
Stars: ✭ 491 (+882%)
Mutual labels:  fpga
Flearadio
Digital FM Radio Receiver for FPGA
Stars: ✭ 36 (-28%)
Mutual labels:  vhdl
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (+850%)
Mutual labels:  fpga
Send altitude cocoos
IoT program for Arduino Uno / STM32 Blue Pill (libopencm3) that reads BME280 temperature + humidity + altitude sensors, via I2C or SPI with DMA and multitasking. Sends sensor data to Sigfox via Wisol Sigfox module on UART. Runs on cocoOS task scheduling library http://www.cocoos.net
Stars: ✭ 24 (-52%)
Mutual labels:  spi
Riscv Cores List
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 471 (+842%)
Mutual labels:  fpga
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (+832%)
Mutual labels:  fpga
Scaffold
Donjon hardware tool for circuits security evaluation
Stars: ✭ 43 (-14%)
Mutual labels:  vhdl
Higan Verilog
This is a higan/Verilator co-simulation example/framework
Stars: ✭ 35 (-30%)
Mutual labels:  fpga
Openrio
Contains VHDL IP-blocks to create stand-alone RapidIO-endpoints, RapidIO-switches and RapidIO-switches with local endpoints.
Stars: ✭ 23 (-54%)
Mutual labels:  vhdl
Hls4ml
Machine learning in FPGAs using HLS
Stars: ✭ 467 (+834%)
Mutual labels:  fpga
Open Fpga Verilog Tutorial
Learn how to design digital systems and synthesize them into an FPGA using only opensource tools
Stars: ✭ 464 (+828%)
Mutual labels:  fpga
Shiftedlcd
Arduino Library for 74HC595 Shift Register using SPI
Stars: ✭ 23 (-54%)
Mutual labels:  spi
Rppal
A Rust library that provides access to the Raspberry Pi's GPIO, I2C, PWM, SPI and UART peripherals.
Stars: ✭ 463 (+826%)
Mutual labels:  spi
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (-36%)
Mutual labels:  vhdl
Floating point library Jhu
VHDL for basic floating-point operations.
Stars: ✭ 22 (-56%)
Mutual labels:  vhdl
Silice
Silice is an open source language that simplifies prototyping and writing algorithms on FPGA architectures.
Stars: ✭ 452 (+804%)
Mutual labels:  fpga
Dsp Theory
Theory of digital signal processing (DSP): signals, filtration (IIR, FIR, CIC, MAF), transforms (FFT, DFT, Hilbert, Z-transform) etc.
Stars: ✭ 437 (+774%)
Mutual labels:  fpga
Open Stage Control
Libre and modular OSC / MIDI controller
Stars: ✭ 436 (+772%)
Mutual labels:  controller
Metac
It is metacontroller and more
Stars: ✭ 50 (+0%)
Mutual labels:  controller
Telegram Bot
Ruby gem for building Telegram Bot with optional Rails integration
Stars: ✭ 433 (+766%)
Mutual labels:  controller
Laravel
Muito conteúdo sobre o framework Laravel. Controllers, Models, Views, Blade, Migrations, Seeders, Middlewares, Autenticação, Autorização, Providers, pacotes, laravel 8, etc.
Stars: ✭ 43 (-14%)
Mutual labels:  controller
Vhdl Mode
A package for Sublime Text that aids coding in the VHDL language.
Stars: ✭ 31 (-38%)
Mutual labels:  vhdl
Hashvoodoo Fpga Bitcoin Miner
HashVoodoo FPGA Bitcoin Miner
Stars: ✭ 16 (-68%)
Mutual labels:  vhdl
Nontrivial Mips
NonTrivial-MIPS is a synthesizable superscalar MIPS processor with branch prediction and FPU support, and it is capable of booting linux.
Stars: ✭ 417 (+734%)
Mutual labels:  fpga
Firesim
FireSim: Easy-to-use, Scalable, FPGA-accelerated Cycle-accurate Hardware Simulation in the Cloud
Stars: ✭ 415 (+730%)
Mutual labels:  fpga
Zedboard audio
A Audio Interface for the Zedboard
Stars: ✭ 16 (-68%)
Mutual labels:  vhdl
Naughtycharacter
Third Person Controller for Unity
Stars: ✭ 412 (+724%)
Mutual labels:  controller
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (+726%)
Mutual labels:  fpga
Nexys4ddr
Stars: ✭ 16 (-68%)
Mutual labels:  vhdl
Action args
Controller action arguments parameterizer for Rails 3+ & Ruby 1.9+
Stars: ✭ 409 (+718%)
Mutual labels:  controller
Luma.led matrix
Python module to drive LED Matrices & 7-segment displays (MAX7219) and RGB NeoPixels (WS2812 / APA102)
Stars: ✭ 407 (+714%)
Mutual labels:  spi
I2s Interface Vhdl
A simplified i2s interface taken from OpenCores' I2S Interface. Aimed for Altera Avalon Streaming interface.
Stars: ✭ 6 (-88%)
Mutual labels:  vhdl
Cores Swerv
SweRV EH1 core
Stars: ✭ 406 (+712%)
Mutual labels:  fpga
Tinygo
Go compiler for small places. Microcontrollers, WebAssembly (WASM/WASI), and command-line tools. Based on LLVM.
Stars: ✭ 9,068 (+18036%)
Mutual labels:  spi
Nmigen
A refreshed Python toolbox for building complex digital hardware
Stars: ✭ 388 (+676%)
Mutual labels:  fpga
Ece368 Lab
ECE368 | Lab
Stars: ✭ 6 (-88%)
Mutual labels:  vhdl
Parallella Hw
Parallella board design files
Stars: ✭ 389 (+678%)
Mutual labels:  vhdl
Kooper
Kooper is a simple Go library to create Kubernetes operators and controllers.
Stars: ✭ 388 (+676%)
Mutual labels:  controller
Sha 256 Hdl
An implementation of original SHA-256 hash function in (RTL) VHDL
Stars: ✭ 6 (-88%)
Mutual labels:  vhdl
Gcvideo
GameCube Digital AV converter
Stars: ✭ 385 (+670%)
Mutual labels:  vhdl
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (+670%)
Mutual labels:  vhdl
Icestudio
❄️ Visual editor for open FPGA boards
Stars: ✭ 958 (+1816%)
Mutual labels:  fpga
Ustc Tmips
Stars: ✭ 6 (-88%)
Mutual labels:  vhdl
Parallella Examples
Community created parallella projects
Stars: ✭ 384 (+668%)
Mutual labels:  vhdl
61-120 of 650 similar projects