Top 269 VHDL open source projects

201. vhdl-hdmi-out
HDMI Out VHDL code for 7-series Xilinx FPGAs
202. formal
Playing around with Formal Verification of Verilog and VHDL
204. zynq examples
No description, website, or topics provided.
205. TG68K.C
switchable 68K CPU-Core
✭ 31
VHDL
206. VGA-Text-Generator
A basic VGA text generator for verilog and vhdl
✭ 28
VHDL
207. Archie MiSTer
Acorn Archimedes for MiSTer
208. AGKIDE
Modification of the Geany 1.24.1 IDE for use with AGK
209. CPU54-Pipeline
CPU based on MIPS with 5-stage pipeline and cache, working with DDR2 memory and SD card.
210. jTDC
FPGA based 30ps RMS TDCs
211. FlowBlaze
FlowBlaze: Stateful Packet Processing in Hardware
212. FPGA-robotics
Verilog library for developing robotics applications using FPGAs
214. MicroPET
A Commodore PET replica and more - with all new parts in 2022
215. BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
216. capi-streaming-framework
AFU framework for streaming applications with CAPI.
✭ 13
VHDLC++tcl
217. SneakySnake
SneakySnake🐍 is the first and the only pre-alignment filtering algorithm that works efficiently and fast on modern CPU, FPGA, and GPU architectures. It greatly (by more than two orders of magnitude) expedites sequence alignment calculation for both short and long reads. Described in the Bioinformatics (2020) by Alser et al. https://arxiv.org/abs…
218. Template MiSTer
Template with latest framework for MiSTer
219. OSXANF
The OSXA repository contains the design files for a NOR flash addon to the original xbox video game console.
✭ 14
VHDL
220. cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
221. fft
synthesizable FFT IP block for FPGA designs
222. satcat5
SatCat5 is a mixed-media Ethernet switch that lets a variety of devices communicate on the same network.
223. logi-hard
All Logi specific HDL code (platform specific interface, extension boards, specific hdl, etc)
225. easyflash
Fork of https://bitbucket.org/skoe/easyflash
226. Arcade-Cave MiSTer
MiSTer arcade core for Cave 68K arcade classics.
228. vhdl prng
Pseudo Random Number Generators as synthesizable VHDL code
229. blog
Projects published on controlpaths.com and hackster.io
230. FPGA Ultrasound
CMU 18545 FPGA project -- Multi-channel ultrasound data acquisition and beamforming system.
231. UnAmiga
Implementation of Amiga 500/1200 in Altera Cyclone IV FPGA
232. FFTVisualizer
This project demonstrates DSP capabilities of Terasic DE2-115
233. opencpi
Open Component Portability Infrastructure
234. Digilent-Maker
Code that goes with the Digilent Maker Space projects- to share and improve all code here is shared under the Creative Commons 3.0 License.
235. Wolf-LITE
DUC-DDC Трансивер Wolf-Lite
236. dynamatic
No description, website, or topics provided.
237. tusSAT
A SAT solver implementation in VHDL, team tussle
✭ 18
VHDLpython
238. Pepino
No description, website, or topics provided.
239. captouch
👇 Add capacitive touch buttons to any FPGA!
241. tinycrypt
Crypto stuff. Don't use.
242. Coyote
Framework providing operating system abstractions and a range of shared networking (RDMA, TCP/IP) and memory services to common modern heterogeneous platforms.
243. Fibonacci
Fibonacci in as many programming languages as possible (65+ already added!!)
244. snickerdoodle-examples
Example projects for snickerdoodle
245. Retro-Computers
Retro-Computer Designs (Z80, 65C816, etc)
246. mce2vga
MDA/CGA/EGA to VGA FPGA Converter V2.00
248. VHDLFormatter
VHDL formatter web online written in typescript
249. zju-isee
浙江大学电子科学与技术专业部分课程仓库
250. iCE40HX1K-EVB
FPGA development board made with KiCAD
201-250 of 269 VHDL projects