All Projects → Bladerf Wiphy → Similar Projects or Alternatives

143 Open source projects that are alternatives of or similar to Bladerf Wiphy

Hdl4fpga
VHDL library 4 FPGAs
Stars: ✭ 120 (-40.89%)
Mutual labels:  vhdl
Nexyspsram
AXI PSRAM Controller IP for use with Digilent Nexys 4
Stars: ✭ 7 (-96.55%)
Mutual labels:  vhdl
Freezing Spice
A pipelined RISCV implementation in VHDL
Stars: ✭ 90 (-55.67%)
Mutual labels:  vhdl
Openrio
Contains VHDL IP-blocks to create stand-alone RapidIO-endpoints, RapidIO-switches and RapidIO-switches with local endpoints.
Stars: ✭ 23 (-88.67%)
Mutual labels:  vhdl
Vna2
Second version of homemade 30 MHz - 6 GHz VNA
Stars: ✭ 150 (-26.11%)
Mutual labels:  vhdl
Audioxtreamer
ASIO driver, Usb Driver, FX2LP Firmware, VHDL Fpga, Schematics & PCB Layout for the AudioXtreamer, a USB 2.0 32ch Audio/Midi interface for retrofitting into digital mixers/interfaces.
Stars: ✭ 22 (-89.16%)
Mutual labels:  vhdl
Patmos
Patmos is a time-predictable VLIW processor, and the processor for the T-CREST project
Stars: ✭ 85 (-58.13%)
Mutual labels:  vhdl
Zedboard audio
A Audio Interface for the Zedboard
Stars: ✭ 16 (-92.12%)
Mutual labels:  vhdl
Rust hdl
Stars: ✭ 120 (-40.89%)
Mutual labels:  vhdl
I2s Interface Vhdl
A simplified i2s interface taken from OpenCores' I2S Interface. Aimed for Altera Avalon Streaming interface.
Stars: ✭ 6 (-97.04%)
Mutual labels:  vhdl
Pynq Dl
Xilinx Deep Learning IP
Stars: ✭ 84 (-58.62%)
Mutual labels:  vhdl
Sha 256 Hdl
An implementation of original SHA-256 hash function in (RTL) VHDL
Stars: ✭ 6 (-97.04%)
Mutual labels:  vhdl
Potato
A simple RISC-V processor for use in FPGA designs.
Stars: ✭ 181 (-10.84%)
Mutual labels:  vhdl
Fpga webserver
A work-in-progress for what is to be a software-free web server for static content.
Stars: ✭ 762 (+275.37%)
Mutual labels:  vhdl
Simon speck ciphers
Implementations of the Simon and Speck Block Ciphers
Stars: ✭ 74 (-63.55%)
Mutual labels:  vhdl
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+242.86%)
Mutual labels:  vhdl
Neppielight
FPGA-based HDMI ambient lighting
Stars: ✭ 114 (-43.84%)
Mutual labels:  vhdl
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (+115.76%)
Mutual labels:  vhdl
Yafc
Yet Another Forth Core...
Stars: ✭ 68 (-66.5%)
Mutual labels:  vhdl
Gcvideo
GameCube Digital AV converter
Stars: ✭ 385 (+89.66%)
Mutual labels:  vhdl
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Stars: ✭ 142 (-30.05%)
Mutual labels:  vhdl
Parallella Examples
Community created parallella projects
Stars: ✭ 384 (+89.16%)
Mutual labels:  vhdl
Logi Projects
Stars: ✭ 63 (-68.97%)
Mutual labels:  vhdl
Riscv vhdl
Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators
Stars: ✭ 356 (+75.37%)
Mutual labels:  vhdl
Fpgba
GBA on FPGA
Stars: ✭ 113 (-44.33%)
Mutual labels:  vhdl
Nvc
VHDL compiler and simulator
Stars: ✭ 347 (+70.94%)
Mutual labels:  vhdl
Sublime Vhdl
VHDL Package for Sublime Text
Stars: ✭ 58 (-71.43%)
Mutual labels:  vhdl
Dsi Shield
Arduino MIPI DSI Shield
Stars: ✭ 330 (+62.56%)
Mutual labels:  vhdl
Fpga displayport
An implementation of DisplayPort protocol for FPGAs
Stars: ✭ 192 (-5.42%)
Mutual labels:  vhdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+33%)
Mutual labels:  vhdl
Aws Fpga
Official repository of the AWS EC2 FPGA Hardware and Software Development Kit
Stars: ✭ 1,091 (+437.44%)
Mutual labels:  vhdl
Opl3 fpga
Reverse engineered SystemVerilog RTL version of the Yamaha OPL3 (YMF262) FM Synthesizer
Stars: ✭ 255 (+25.62%)
Mutual labels:  vhdl
Nexys4ddr
Various projects for the Nexys4DDR board from Digilent
Stars: ✭ 110 (-45.81%)
Mutual labels:  vhdl
SmartVHDL
SublimeText Plugin for VHDL (highlight, autocompletion, navigation, ...)
Stars: ✭ 12 (-94.09%)
Mutual labels:  vhdl
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+412.81%)
Mutual labels:  vhdl
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (-86.7%)
Mutual labels:  vhdl
Vhdl lib
Library of VHDL components that are useful in larger designs.
Stars: ✭ 139 (-31.53%)
Mutual labels:  vhdl
vcd
VCD file (Value Change Dump) command line viewer
Stars: ✭ 40 (-80.3%)
Mutual labels:  vhdl
Fpga Fft
A highly optimized streaming FFT core based on Bailey's 4-step large FFT algorithm
Stars: ✭ 45 (-77.83%)
Mutual labels:  vhdl
CryptoHDL
A list of VHDL codes implementing cryptographic algorithms
Stars: ✭ 14 (-93.1%)
Mutual labels:  vhdl
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (-47.78%)
Mutual labels:  vhdl
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-92.61%)
Mutual labels:  vhdl
Vhdl
VHDL Samples
Stars: ✭ 40 (-80.3%)
Mutual labels:  vhdl
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-86.7%)
Mutual labels:  vhdl
Hardh264
A hardware h264 video encoder written in VHDL. Designed to be synthesized into an FPGA. Initial testing is using Xilinx tools and FPGAs but it is not specific to Xilinx.
Stars: ✭ 155 (-23.65%)
Mutual labels:  vhdl
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (-82.27%)
Mutual labels:  vhdl
Open Source Fpga Bitcoin Miner
A completely open source implementation of a Bitcoin Miner for Altera and Xilinx FPGAs. This project hopes to promote the free and open development of FPGA based mining solutions and secure the future of the Bitcoin project as a whole. A binary release is currently available for the Terasic DE2-115 Development Board, and there are compile-able projects for numerous boards.
Stars: ✭ 989 (+387.19%)
Mutual labels:  vhdl
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (-72.41%)
Mutual labels:  vhdl
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (-49.26%)
Mutual labels:  vhdl
symbolator
HDL symbol generator
Stars: ✭ 123 (-39.41%)
Mutual labels:  vhdl
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (-84.24%)
Mutual labels:  vhdl
noasic
An open-source VHDL library for FPGA design.
Stars: ✭ 27 (-86.7%)
Mutual labels:  vhdl
C64 Video Enhancement
Component video modification for the C64 8-bit computer
Stars: ✭ 136 (-33%)
Mutual labels:  vhdl
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-84.73%)
Mutual labels:  vhdl
Ghdl Yosys Plugin
VHDL synthesis (based on ghdl)
Stars: ✭ 192 (-5.42%)
Mutual labels:  vhdl
Uvvm
UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/
Stars: ✭ 191 (-5.91%)
Mutual labels:  vhdl
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (-24.63%)
Mutual labels:  vhdl
Fmcw3
Two RX-channel 6 GHz FMCW radar design files
Stars: ✭ 126 (-37.93%)
Mutual labels:  vhdl
Xjtu Tripler
This repository is the backup of XJTU-Tripler project, participating dac19 system design contest
Stars: ✭ 98 (-51.72%)
Mutual labels:  vhdl
Fpga Bbc
Acorn BBC Micro on an Altera DE1 FPGA board
Stars: ✭ 14 (-93.1%)
Mutual labels:  vhdl
61-120 of 143 similar projects