All Projects → Reonv → Similar Projects or Alternatives

143 Open source projects that are alternatives of or similar to Reonv

Multicomp
Simple custom computer on a FPGA
Stars: ✭ 8 (-82.98%)
Mutual labels:  vhdl
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-31.91%)
Mutual labels:  vhdl
Parallella Hw
Parallella board design files
Stars: ✭ 389 (+727.66%)
Mutual labels:  vhdl
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (+25.53%)
Mutual labels:  vhdl
Vhdl Mode
A package for Sublime Text that aids coding in the VHDL language.
Stars: ✭ 31 (-34.04%)
Mutual labels:  vhdl
FPGA-I2C-Minion
A simple I2C minion in VHDL
Stars: ✭ 50 (+6.38%)
Mutual labels:  vhdl
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (+719.15%)
Mutual labels:  vhdl
Cache
Simple implementation of cache using VHDL
Stars: ✭ 17 (-63.83%)
Mutual labels:  vhdl
Aes
AES-128 hardware implementation
Stars: ✭ 25 (-46.81%)
Mutual labels:  vhdl
SiaFpgaMiner
VHDL FPGA design of an optimized Blake2b pipeline to mine Siacoin
Stars: ✭ 58 (+23.4%)
Mutual labels:  vhdl
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Stars: ✭ 383 (+714.89%)
Mutual labels:  vhdl
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (-36.17%)
Mutual labels:  vhdl
Hdmi2usb Numato Opsis Sample Code
Example code for the Numato Opsis board, the first HDMI2USB production board.
Stars: ✭ 40 (-14.89%)
Mutual labels:  vhdl
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-46.81%)
Mutual labels:  vhdl
Mist Board
Core sources and tools for the MIST board
Stars: ✭ 350 (+644.68%)
Mutual labels:  vhdl
VHDLFormatter
VHDL formatter web online written in typescript
Stars: ✭ 44 (-6.38%)
Mutual labels:  vhdl
Floating point library Jhu
VHDL for basic floating-point operations.
Stars: ✭ 22 (-53.19%)
Mutual labels:  vhdl
fpga torture
🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-51.06%)
Mutual labels:  vhdl
F32c
A 32-bit RISC-V / MIPS ISA retargetable CPU core & SoC, 1.63 DMIPS/MHz
Stars: ✭ 338 (+619.15%)
Mutual labels:  vhdl
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+591.49%)
Mutual labels:  vhdl
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+1938.3%)
Mutual labels:  vhdl
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+14.89%)
Mutual labels:  vhdl
Hal
HAL – The Hardware Analyzer
Stars: ✭ 298 (+534.04%)
Mutual labels:  vhdl
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
Stars: ✭ 244 (+419.15%)
Mutual labels:  vhdl
Hashvoodoo Fpga Bitcoin Miner
HashVoodoo FPGA Bitcoin Miner
Stars: ✭ 16 (-65.96%)
Mutual labels:  vhdl
Awesome Model Quantization
A list of papers, docs, codes about model quantization. This repo is aimed to provide the info for model quantization research, we are continuously improving the project. Welcome to PR the works (papers, repositories) that are missed by the repo.
Stars: ✭ 200 (+325.53%)
Mutual labels:  vhdl
Csi2rx
Open Source 4k CSI-2 Rx core for Xilinx FPGAs
Stars: ✭ 266 (+465.96%)
Mutual labels:  vhdl
Ghdl Yosys Plugin
VHDL synthesis (based on ghdl)
Stars: ✭ 192 (+308.51%)
Mutual labels:  vhdl
Scaffold
Donjon hardware tool for circuits security evaluation
Stars: ✭ 43 (-8.51%)
Mutual labels:  vhdl
Uvvm
UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/
Stars: ✭ 191 (+306.38%)
Mutual labels:  vhdl
simple-riscv
A simple three-stage RISC-V CPU
Stars: ✭ 14 (-70.21%)
Mutual labels:  vhdl
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (+231.91%)
Mutual labels:  vhdl
Nexys4ddr
Stars: ✭ 16 (-65.96%)
Mutual labels:  vhdl
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+225.53%)
Mutual labels:  vhdl
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-8.51%)
Mutual labels:  vhdl
Fletcher
Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
Stars: ✭ 144 (+206.38%)
Mutual labels:  vhdl
Fpga Bbc
Acorn BBC Micro on an Altera DE1 FPGA board
Stars: ✭ 14 (-70.21%)
Mutual labels:  vhdl
Osvvm
OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...
Stars: ✭ 140 (+197.87%)
Mutual labels:  vhdl
fpga puf
🔑 Technology-agnostic Physical Unclonable Function (PUF) hardware module for any FPGA.
Stars: ✭ 44 (-6.38%)
Mutual labels:  vhdl
Mega65 Core
MEGA65 FPGA core
Stars: ✭ 137 (+191.49%)
Mutual labels:  vhdl
Ece368 Lab
ECE368 | Lab
Stars: ✭ 6 (-87.23%)
Mutual labels:  vhdl
Fmcw3
Two RX-channel 6 GHz FMCW radar design files
Stars: ✭ 126 (+168.09%)
Mutual labels:  vhdl
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+208.51%)
Mutual labels:  vhdl
Neo430
A very small msp430-compatible customizable soft-core microcontroller-like processor system written in platform-independent VHDL.
Stars: ✭ 120 (+155.32%)
Mutual labels:  vhdl
Flearadio
Digital FM Radio Receiver for FPGA
Stars: ✭ 36 (-23.4%)
Mutual labels:  vhdl
Zpu
The Zylin ZPU
Stars: ✭ 118 (+151.06%)
Mutual labels:  vhdl
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+6.38%)
Mutual labels:  vhdl
Artix 7 Hdmi Processing
Receiving and processing 1080p HDMI audio and video on the Artix 7 FPGA
Stars: ✭ 113 (+140.43%)
Mutual labels:  vhdl
Ustc Tmips
Stars: ✭ 6 (-87.23%)
Mutual labels:  vhdl
Cosmos Plus Openssd
Cosmos OpenSSD + Hardware and Software source distribution
Stars: ✭ 110 (+134.04%)
Mutual labels:  vhdl
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (+8.51%)
Mutual labels:  vhdl
Vhdl Extras
Flexible VHDL library
Stars: ✭ 109 (+131.91%)
Mutual labels:  vhdl
Fpganes
Stars: ✭ 12 (-74.47%)
Mutual labels:  vhdl
pyarch
🔌 Hardware Abstraction Library in Python
Stars: ✭ 15 (-68.09%)
Mutual labels:  vhdl
Fpga Fft
A highly optimized streaming FFT core based on Bailey's 4-step large FFT algorithm
Stars: ✭ 45 (-4.26%)
Mutual labels:  vhdl
Vhdl
VHDL Samples
Stars: ✭ 40 (-14.89%)
Mutual labels:  vhdl
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (-31.91%)
Mutual labels:  vhdl
Rewire
Experimental compiler for a subset of Haskell to VHDL
Stars: ✭ 10 (-78.72%)
Mutual labels:  vhdl
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+1380.85%)
Mutual labels:  vhdl
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (+19.15%)
Mutual labels:  vhdl
61-120 of 143 similar projects