All Projects → TinyGarble → Similar Projects or Alternatives

347 Open source projects that are alternatives of or similar to TinyGarble

Verilog I2c
Verilog I2C interface for FPGA implementation
Stars: ✭ 171 (+58.33%)
Mutual labels:  verilog
Cnn Fpga
使用Verilog实现的CNN模块,可以方便的在FPGA项目中使用
Stars: ✭ 160 (+48.15%)
Mutual labels:  verilog
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+92.59%)
Mutual labels:  verilog
Verilog Uart
Verilog UART
Stars: ✭ 174 (+61.11%)
Mutual labels:  verilog
Scale Mamba
Repository for the SCALE-MAMBA MPC system
Stars: ✭ 147 (+36.11%)
Mutual labels:  verilog
Fpu
synthesiseable ieee 754 floating point library in verilog
Stars: ✭ 214 (+98.15%)
Mutual labels:  verilog
Fpga Chip8
CHIP-8 console on FPGA
Stars: ✭ 169 (+56.48%)
Mutual labels:  verilog
StegoProxy
Steganography proxy implemented in java
Stars: ✭ 19 (-82.41%)
Mutual labels:  security-protocol
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+41.67%)
Mutual labels:  verilog
Piccolo
RISC-V CPU, simple 3-stage pipeline, for low-end applications (e.g., embedded, IoT)
Stars: ✭ 200 (+85.19%)
Mutual labels:  verilog
Fpga
The USRP™ Hardware Driver FPGA Repository
Stars: ✭ 176 (+62.96%)
Mutual labels:  verilog
Simplevout
A Simple FPGA Core for Creating VGA/DVI/HDMI/OpenLDI Signals
Stars: ✭ 139 (+28.7%)
Mutual labels:  verilog
Spispy
An open source SPI flash emulator and monitor
Stars: ✭ 220 (+103.7%)
Mutual labels:  verilog
Tinyfpga B Series
Open source design files for the TinyFPGA B-Series boards.
Stars: ✭ 173 (+60.19%)
Mutual labels:  verilog
COExperiment Repo
支持 45 条 MIPS 指令的单周期处理器 -- 计算机组成原理实验 NUAA Spring 2017
Stars: ✭ 23 (-78.7%)
Mutual labels:  verilog
Sv Parser
SystemVerilog parser library fully complient with IEEE 1800-2017
Stars: ✭ 169 (+56.48%)
Mutual labels:  verilog
Flute
RISC-V CPU, simple 5-stage in-order pipeline, for low-end applications needing MMUs and some performance
Stars: ✭ 210 (+94.44%)
Mutual labels:  verilog
Kryon
FPGA,Verilog,Python
Stars: ✭ 169 (+56.48%)
Mutual labels:  verilog
my hdmi device
New clean hdmi implementation for ulx3s, icestick, icoboard, arty7, colorlight i5 and blackicemx! With tmds encoding hacked down from dvi standard. Supports DDR and SRD tranfser!
Stars: ✭ 66 (-38.89%)
Mutual labels:  verilog
Fpg1
PDP-1 FPGA implementation in Verilog, with CRT, Teletype and Console.
Stars: ✭ 159 (+47.22%)
Mutual labels:  verilog
Verilog 6502
A Verilog HDL model of the MOS 6502 CPU
Stars: ✭ 200 (+85.19%)
Mutual labels:  verilog
Learning Nvdla Notes
NVDLA is an Open source DL/ML accelerator, which is very suitable for individuals or college students. This is the NOTES when I learn and try. Hope THIS PAGE may Helps you a bit. Contact Me:[email protected]
Stars: ✭ 150 (+38.89%)
Mutual labels:  verilog
Basic verilog
Must-have verilog systemverilog modules
Stars: ✭ 247 (+128.7%)
Mutual labels:  verilog
Ice40 Playground
Various iCE40 cores / projects to play around with (mostly targeted at the icebreaker)
Stars: ✭ 141 (+30.56%)
Mutual labels:  verilog
Verilog Generator Of Neural Net Digit Detector For Fpga
Verilog Generator of Neural Net Digit Detector for FPGA
Stars: ✭ 187 (+73.15%)
Mutual labels:  verilog
Wb2axip
Bus bridges and other odds and ends
Stars: ✭ 177 (+63.89%)
Mutual labels:  verilog
Openwifi
open-source IEEE 802.11 WiFi baseband FPGA (chip) design
Stars: ✭ 2,257 (+1989.81%)
Mutual labels:  verilog
Convolution network on fpga
CNN acceleration on virtex-7 FPGA with verilog HDL
Stars: ✭ 236 (+118.52%)
Mutual labels:  verilog
Accdnn
A compiler from AI model to RTL (Verilog) accelerator in FPGA hardware with auto design space exploration.
Stars: ✭ 175 (+62.04%)
Mutual labels:  verilog
dbgbus
A collection of debugging busses developed and presented at zipcpu.com
Stars: ✭ 24 (-77.78%)
Mutual labels:  verilog
Step into mips
一步一步写MIPS CPU
Stars: ✭ 174 (+61.11%)
Mutual labels:  verilog
Zet
Open source implementation of a x86 processor
Stars: ✭ 216 (+100%)
Mutual labels:  verilog
Kestrel
The Kestrel is a family of home-made computers, built as much as possible on open-source technology, and supporting as much as possible the open-source philosophy.
Stars: ✭ 171 (+58.33%)
Mutual labels:  verilog
picorv32 Xilinx
A picorv32-riscv Soc with DMAC and Ethernet controller & lwip & Kirtex7@333MHz
Stars: ✭ 49 (-54.63%)
Mutual labels:  verilog
Poprc
A Compiler for the Popr Language
Stars: ✭ 170 (+57.41%)
Mutual labels:  verilog
Opentimer
A High-performance Timing Analysis Tool for VLSI Systems
Stars: ✭ 213 (+97.22%)
Mutual labels:  verilog
Sdram Controller
Verilog SDRAM memory controller
Stars: ✭ 169 (+56.48%)
Mutual labels:  verilog
Piknik
Copy/paste anything over the network.
Stars: ✭ 2,221 (+1956.48%)
Mutual labels:  security-protocol
Metroboy
MetroBoy - A playable, circuit-level simulation of an entire Game Boy
Stars: ✭ 169 (+56.48%)
Mutual labels:  verilog
Litepcie
Small footprint and configurable PCIe core
Stars: ✭ 206 (+90.74%)
Mutual labels:  verilog
Fpga readings
Recipe for FPGA cooking
Stars: ✭ 164 (+51.85%)
Mutual labels:  verilog
tree-core-ide
The next generation integrated development environment for processor design and verification. It has multi-hardware language support, open source IP management and easy-to-use rtl simulation toolset.
Stars: ✭ 79 (-26.85%)
Mutual labels:  verilog
Sha256
Hardware implementation of the SHA-256 cryptographic hash function
Stars: ✭ 160 (+48.15%)
Mutual labels:  verilog
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Stars: ✭ 205 (+89.81%)
Mutual labels:  verilog
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (+44.44%)
Mutual labels:  verilog
wagtailenforcer
The Wagtail arm of the law - enforce security protocols on your Wagtail site
Stars: ✭ 43 (-60.19%)
Mutual labels:  security-protocol
Sv2v
SystemVerilog to Verilog conversion
Stars: ✭ 151 (+39.81%)
Mutual labels:  verilog
Fpga nes
FPGA-based Nintendo Entertainment System Emulator
Stars: ✭ 199 (+84.26%)
Mutual labels:  verilog
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (+37.96%)
Mutual labels:  verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-84.26%)
Mutual labels:  verilog
Slang
SystemVerilog compiler and language services
Stars: ✭ 145 (+34.26%)
Mutual labels:  verilog
Ridecore
RIDECORE (RIsc-v Dynamic Execution CORE) is an Out-of-Order RISC-V processor written in Verilog HDL.
Stars: ✭ 199 (+84.26%)
Mutual labels:  verilog
Chisel3
Chisel 3: A Modern Hardware Design Language
Stars: ✭ 2,290 (+2020.37%)
Mutual labels:  verilog
Project Zipline
Defines a lossless compressed data format that is independent of CPU type, operating system, file system, and character set, and is suitable for compression using the XP10 algorithm.
Stars: ✭ 247 (+128.7%)
Mutual labels:  verilog
Basejump stl
BaseJump STL: A Standard Template Library for SystemVerilog
Stars: ✭ 179 (+65.74%)
Mutual labels:  verilog
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-55.56%)
Mutual labels:  verilog
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (+54.63%)
Mutual labels:  verilog
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-50%)
Mutual labels:  verilog
Fpga Litecoin Miner
A litecoin scrypt miner implemented with FPGA on-chip memory.
Stars: ✭ 245 (+126.85%)
Mutual labels:  verilog
Openwifi Hw
FPGA/hardware design of openwifi
Stars: ✭ 181 (+67.59%)
Mutual labels:  verilog
1-60 of 347 similar projects