All Projects → Verilog 6502 → Similar Projects or Alternatives

342 Open source projects that are alternatives of or similar to Verilog 6502

sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-81.5%)
Mutual labels:  verilog
Solutions-to-HDLbits-Verilog-sets
Here are my solutions to HDLbits Verilog problem sets (HDLbits: https://hdlbits.01xz.net/wiki/Main_Page).
Stars: ✭ 57 (-71.5%)
Mutual labels:  verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-74.5%)
Mutual labels:  verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-81%)
Mutual labels:  verilog
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (-72%)
Mutual labels:  verilog
PyChip-py-hcl
A Hardware Construct Language
Stars: ✭ 36 (-82%)
Mutual labels:  verilog
OpenROAD-flow-scripts
OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/
Stars: ✭ 124 (-38%)
Mutual labels:  verilog
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (-58.5%)
Mutual labels:  verilog
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (-21.5%)
Mutual labels:  verilog
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (-83%)
Mutual labels:  verilog
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (-67%)
Mutual labels:  verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (-77%)
Mutual labels:  verilog
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-91%)
Mutual labels:  verilog
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-90%)
Mutual labels:  verilog
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-87.5%)
Mutual labels:  verilog
yafpgatetris
Yet Another Tetris on FPGA Implementation
Stars: ✭ 29 (-85.5%)
Mutual labels:  verilog
FPGA ThreeLevelStorage
【原创,已被编入官方教材】Three-level storage subsystem(SD+DDR2 SDRAM+Cache), based on Nexys4 FPGA board. 同济大学计算机系统结构课程设计,FPGA三级存储子系统。
Stars: ✭ 86 (-57%)
Mutual labels:  verilog
Atalanta
Atalanta is a modified ATPG (Automatic Test Pattern Generation) tool and fault simulator, orginally from VirginiaTech University.
Stars: ✭ 49 (-75.5%)
Mutual labels:  verilog
svut
SVUT is a simple framework to create Verilog/SystemVerilog unit tests. Just focus on your tests!
Stars: ✭ 48 (-76%)
Mutual labels:  verilog
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+62.5%)
Mutual labels:  verilog
TinyGarble
TinyGarble: Logic Synthesis and Sequential Descriptions for Yao's Garbled Circuits
Stars: ✭ 108 (-46%)
Mutual labels:  verilog
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-76%)
Mutual labels:  verilog
tree-core-ide
The next generation integrated development environment for processor design and verification. It has multi-hardware language support, open source IP management and easy-to-use rtl simulation toolset.
Stars: ✭ 79 (-60.5%)
Mutual labels:  verilog
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (-16.5%)
Mutual labels:  verilog
picorv32 Xilinx
A picorv32-riscv Soc with DMAC and Ethernet controller & lwip & Kirtex7@333MHz
Stars: ✭ 49 (-75.5%)
Mutual labels:  verilog
my hdmi device
New clean hdmi implementation for ulx3s, icestick, icoboard, arty7, colorlight i5 and blackicemx! With tmds encoding hacked down from dvi standard. Supports DDR and SRD tranfser!
Stars: ✭ 66 (-67%)
Mutual labels:  verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-91.5%)
Mutual labels:  verilog
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-73%)
Mutual labels:  verilog
dbgbus
A collection of debugging busses developed and presented at zipcpu.com
Stars: ✭ 24 (-88%)
Mutual labels:  verilog
COExperiment Repo
支持 45 条 MIPS 指令的单周期处理器 -- 计算机组成原理实验 NUAA Spring 2017
Stars: ✭ 23 (-88.5%)
Mutual labels:  verilog
Basic verilog
Must-have verilog systemverilog modules
Stars: ✭ 247 (+23.5%)
Mutual labels:  verilog
Project Zipline
Defines a lossless compressed data format that is independent of CPU type, operating system, file system, and character set, and is suitable for compression using the XP10 algorithm.
Stars: ✭ 247 (+23.5%)
Mutual labels:  verilog
Fpga Litecoin Miner
A litecoin scrypt miner implemented with FPGA on-chip memory.
Stars: ✭ 245 (+22.5%)
Mutual labels:  verilog
Convolution network on fpga
CNN acceleration on virtex-7 FPGA with verilog HDL
Stars: ✭ 236 (+18%)
Mutual labels:  verilog
Spispy
An open source SPI flash emulator and monitor
Stars: ✭ 220 (+10%)
Mutual labels:  verilog
Zet
Open source implementation of a x86 processor
Stars: ✭ 216 (+8%)
Mutual labels:  verilog
Fpu
synthesiseable ieee 754 floating point library in verilog
Stars: ✭ 214 (+7%)
Mutual labels:  verilog
Opentimer
A High-performance Timing Analysis Tool for VLSI Systems
Stars: ✭ 213 (+6.5%)
Mutual labels:  verilog
Flute
RISC-V CPU, simple 5-stage in-order pipeline, for low-end applications needing MMUs and some performance
Stars: ✭ 210 (+5%)
Mutual labels:  verilog
Litepcie
Small footprint and configurable PCIe core
Stars: ✭ 206 (+3%)
Mutual labels:  verilog
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+4%)
Mutual labels:  verilog
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Stars: ✭ 205 (+2.5%)
Mutual labels:  verilog
301-342 of 342 similar projects