All Projects → Verilog 6502 → Similar Projects or Alternatives

342 Open source projects that are alternatives of or similar to Verilog 6502

Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (-31.5%)
Mutual labels:  verilog
Milkymist
SoC design for Milkymist One - LM32, DDR SDRAM, 2D TMU, PFPU
Stars: ✭ 127 (-36.5%)
Mutual labels:  verilog
Sha256
Hardware implementation of the SHA-256 cryptographic hash function
Stars: ✭ 160 (-20%)
Mutual labels:  verilog
Openwifi
open-source IEEE 802.11 WiFi baseband FPGA (chip) design
Stars: ✭ 2,257 (+1028.5%)
Mutual labels:  verilog
Connectal
Connectal is a framework for software-driven hardware development.
Stars: ✭ 117 (-41.5%)
Mutual labels:  verilog
Metroboy
MetroBoy - A playable, circuit-level simulation of an entire Game Boy
Stars: ✭ 169 (-15.5%)
Mutual labels:  verilog
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Stars: ✭ 131 (-34.5%)
Mutual labels:  verilog
Step into mips
一步一步写MIPS CPU
Stars: ✭ 174 (-13%)
Mutual labels:  verilog
Fpganes
NES in Verilog
Stars: ✭ 119 (-40.5%)
Mutual labels:  verilog
Sv2v
SystemVerilog to Verilog conversion
Stars: ✭ 151 (-24.5%)
Mutual labels:  verilog
Chisel3
Chisel 3: A Modern Hardware Design Language
Stars: ✭ 2,290 (+1045%)
Mutual labels:  verilog
Raven Picorv32
Silicon-validated SoC implementation of the PicoSoc/PicoRV32
Stars: ✭ 110 (-45%)
Mutual labels:  verilog
Sdram Controller
Verilog SDRAM memory controller
Stars: ✭ 169 (-15.5%)
Mutual labels:  verilog
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (-31.5%)
Mutual labels:  verilog
Accdnn
A compiler from AI model to RTL (Verilog) accelerator in FPGA hardware with auto design space exploration.
Stars: ✭ 175 (-12.5%)
Mutual labels:  verilog
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (-32.5%)
Mutual labels:  verilog
Fpga readings
Recipe for FPGA cooking
Stars: ✭ 164 (-18%)
Mutual labels:  verilog
Fpga based cnn
FPGA based acceleration of Convolutional Neural Networks. The project is developed by Verilog for Altera DE5 Net platform.
Stars: ✭ 129 (-35.5%)
Mutual labels:  verilog
Basejump stl
BaseJump STL: A Standard Template Library for SystemVerilog
Stars: ✭ 179 (-10.5%)
Mutual labels:  verilog
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Stars: ✭ 126 (-37%)
Mutual labels:  verilog
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (-22%)
Mutual labels:  verilog
Svls
SystemVerilog language server
Stars: ✭ 119 (-40.5%)
Mutual labels:  verilog
Kestrel
The Kestrel is a family of home-made computers, built as much as possible on open-source technology, and supporting as much as possible the open-source philosophy.
Stars: ✭ 171 (-14.5%)
Mutual labels:  verilog
Vm80a
i8080 precise replica in Verilog, based on reverse engineering of real die
Stars: ✭ 114 (-43%)
Mutual labels:  verilog
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-25.5%)
Mutual labels:  verilog
Ice40 Playground
Various iCE40 cores / projects to play around with (mostly targeted at the icebreaker)
Stars: ✭ 141 (-29.5%)
Mutual labels:  verilog
Sv Tests
Test suite designed to check compliance with the SystemVerilog standard.
Stars: ✭ 108 (-46%)
Mutual labels:  verilog
Sv Parser
SystemVerilog parser library fully complient with IEEE 1800-2017
Stars: ✭ 169 (-15.5%)
Mutual labels:  verilog
Simplevout
A Simple FPGA Core for Creating VGA/DVI/HDMI/OpenLDI Signals
Stars: ✭ 139 (-30.5%)
Mutual labels:  verilog
Fpga
The USRP™ Hardware Driver FPGA Repository
Stars: ✭ 176 (-12%)
Mutual labels:  verilog
Icesugar
iCESugar FPGA Board (base on iCE40UP5k)
Stars: ✭ 139 (-30.5%)
Mutual labels:  verilog
Fpga Chip8
CHIP-8 console on FPGA
Stars: ✭ 169 (-15.5%)
Mutual labels:  verilog
E200 opensource
This repository hosts the project for open-source hummingbird E203 RISC processor Core.
Stars: ✭ 1,909 (+854.5%)
Mutual labels:  verilog
Verilog Generator Of Neural Net Digit Detector For Fpga
Verilog Generator of Neural Net Digit Detector for FPGA
Stars: ✭ 187 (-6.5%)
Mutual labels:  verilog
Openofdm
Sythesizable, modular Verilog implementation of 802.11 OFDM decoder.
Stars: ✭ 135 (-32.5%)
Mutual labels:  verilog
Kryon
FPGA,Verilog,Python
Stars: ✭ 169 (-15.5%)
Mutual labels:  verilog
Wbuart32
A simple, basic, formally verified UART controller
Stars: ✭ 133 (-33.5%)
Mutual labels:  verilog
Verilog Uart
Verilog UART
Stars: ✭ 174 (-13%)
Mutual labels:  verilog
Fpga Peripherals
🌱 ❄️ Collection of open-source peripherals in Verilog
Stars: ✭ 130 (-35%)
Mutual labels:  verilog
Cnn Fpga
使用Verilog实现的CNN模块,可以方便的在FPGA项目中使用
Stars: ✭ 160 (-20%)
Mutual labels:  verilog
Nandland
All code found on nandland is here. underconstruction.gif
Stars: ✭ 128 (-36%)
Mutual labels:  verilog
Piccolo
RISC-V CPU, simple 3-stage pipeline, for low-end applications (e.g., embedded, IoT)
Stars: ✭ 200 (+0%)
Mutual labels:  verilog
Picorv32
PicoRV32 - A Size-Optimized RISC-V CPU
Stars: ✭ 1,856 (+828%)
Mutual labels:  verilog
Fpg1
PDP-1 FPGA implementation in Verilog, with CRT, Teletype and Console.
Stars: ✭ 159 (-20.5%)
Mutual labels:  verilog
Displayport verilog
A Verilog implementation of DisplayPort protocol for FPGAs
Stars: ✭ 125 (-37.5%)
Mutual labels:  verilog
Tinyfpga B Series
Open source design files for the TinyFPGA B-Series boards.
Stars: ✭ 173 (-13.5%)
Mutual labels:  verilog
Vscode Verilog Hdl Support
Verilog HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code
Stars: ✭ 120 (-40%)
Mutual labels:  verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (-23.5%)
Mutual labels:  verilog
Surelog
SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API.
Stars: ✭ 116 (-42%)
Mutual labels:  verilog
Openwifi Hw
FPGA/hardware design of openwifi
Stars: ✭ 181 (-9.5%)
Mutual labels:  verilog
Vscale
Verilog version of Z-scale (deprecated)
Stars: ✭ 116 (-42%)
Mutual labels:  verilog
Learning Nvdla Notes
NVDLA is an Open source DL/ML accelerator, which is very suitable for individuals or college students. This is the NOTES when I learn and try. Hope THIS PAGE may Helps you a bit. Contact Me:[email protected]
Stars: ✭ 150 (-25%)
Mutual labels:  verilog
Orpsoc Cores
Core description files for FuseSoC
Stars: ✭ 112 (-44%)
Mutual labels:  verilog
Verilog I2c
Verilog I2C interface for FPGA implementation
Stars: ✭ 171 (-14.5%)
Mutual labels:  verilog
Scale Mamba
Repository for the SCALE-MAMBA MPC system
Stars: ✭ 147 (-26.5%)
Mutual labels:  verilog
Fpga nes
FPGA-based Nintendo Entertainment System Emulator
Stars: ✭ 199 (-0.5%)
Mutual labels:  verilog
Ridecore
RIDECORE (RIsc-v Dynamic Execution CORE) is an Out-of-Order RISC-V processor written in Verilog HDL.
Stars: ✭ 199 (-0.5%)
Mutual labels:  verilog
Wb2axip
Bus bridges and other odds and ends
Stars: ✭ 177 (-11.5%)
Mutual labels:  verilog
Poprc
A Compiler for the Popr Language
Stars: ✭ 170 (-15%)
Mutual labels:  verilog
Slang
SystemVerilog compiler and language services
Stars: ✭ 145 (-27.5%)
Mutual labels:  verilog
1-60 of 342 similar projects