All Projects → Icezum → Similar Projects or Alternatives

752 Open source projects that are alternatives of or similar to Icezum

Reduceron
FPGA Haskell machine with game changing performance. Reduceron is Matthew Naylor, Colin Runciman and Jason Reich's high performance FPGA softcore for running lazy functional programs, including hardware garbage collection. Reduceron has been implemented on various FPGAs with clock frequency ranging from 60 to 150 MHz depending on the FPGA. A high degree of parallelism allows Reduceron to implement graph evaluation very efficiently. This fork aims to continue development on this, with a view to practical applications. Comments, questions, etc are welcome.
Stars: ✭ 308 (+10%)
Mutual labels:  verilog, fpga
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (-82.86%)
Mutual labels:  fpga, verilog
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (+69.64%)
Mutual labels:  verilog, fpga
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+1878.21%)
Mutual labels:  verilog, fpga
Beagle sdr gps
KiwiSDR: BeagleBone web-accessible shortwave receiver and software-defined GPS
Stars: ✭ 300 (+7.14%)
Mutual labels:  verilog, fpga
wbi2c
Wishbone controlled I2C controllers
Stars: ✭ 25 (-91.07%)
Mutual labels:  fpga, verilog
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+148.57%)
Mutual labels:  verilog, fpga
Iroha
Intermediate Representation Of Hardware Abstraction (LLVM-ish for HLS)
Stars: ✭ 30 (-89.29%)
Mutual labels:  verilog, fpga
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (+141.43%)
Mutual labels:  verilog, fpga
Higan Verilog
This is a higan/Verilator co-simulation example/framework
Stars: ✭ 35 (-87.5%)
Mutual labels:  verilog, fpga
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-88.93%)
Mutual labels:  verilog, fpga
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (-47.5%)
Mutual labels:  fpga, verilog
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (+5.71%)
Mutual labels:  verilog, fpga
Wbscope
A wishbone controlled scope for FPGA's
Stars: ✭ 50 (-82.14%)
Mutual labels:  verilog, fpga
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+271.79%)
Mutual labels:  verilog, fpga
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-84.64%)
Mutual labels:  verilog, fpga
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-82.14%)
Mutual labels:  fpga, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-77.14%)
Mutual labels:  verilog, fpga
Core jpeg
High throughput JPEG decoder in Verilog for FPGA
Stars: ✭ 64 (-77.14%)
Mutual labels:  verilog, fpga
Antikernel
The Antikernel operating system project
Stars: ✭ 75 (-73.21%)
Mutual labels:  verilog, fpga
Rsyocto
🤖 SoCFPGA: Open Source embedded Linux developed for Intel (ALTERA) SoC-FPGAs (Cyclone V & Arria 10)
Stars: ✭ 41 (-85.36%)
Mutual labels:  verilog, fpga
Autofpga
A utility for Composing FPGA designs from Peripherals
Stars: ✭ 108 (-61.43%)
Mutual labels:  verilog, fpga
Nyuziprocessor
GPGPU microprocessor architecture
Stars: ✭ 1,351 (+382.5%)
Mutual labels:  verilog, fpga
Connectal
Connectal is a framework for software-driven hardware development.
Stars: ✭ 117 (-58.21%)
Mutual labels:  verilog, fpga
Vgasim
A Video display simulator
Stars: ✭ 94 (-66.43%)
Mutual labels:  verilog, fpga
Wbuart32
A simple, basic, formally verified UART controller
Stars: ✭ 133 (-52.5%)
Mutual labels:  verilog, fpga
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Stars: ✭ 131 (-53.21%)
Mutual labels:  verilog, fpga
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (-51.07%)
Mutual labels:  verilog, fpga
Openpiton
The OpenPiton Platform
Stars: ✭ 282 (+0.71%)
Mutual labels:  verilog, fpga
1bitSDR
Minimal SDR with Lattice MachXO2 FPGA. And a port to Cyclone3 by Steven Groom
Stars: ✭ 53 (-81.07%)
Mutual labels:  fpga, verilog
Fpga readings
Recipe for FPGA cooking
Stars: ✭ 164 (-41.43%)
Mutual labels:  verilog, fpga
Wb2axip
Bus bridges and other odds and ends
Stars: ✭ 177 (-36.79%)
Mutual labels:  verilog, fpga
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (-45.36%)
Mutual labels:  verilog, fpga
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Stars: ✭ 205 (-26.79%)
Mutual labels:  verilog, fpga
Verilog Generator Of Neural Net Digit Detector For Fpga
Verilog Generator of Neural Net Digit Detector for FPGA
Stars: ✭ 187 (-33.21%)
Mutual labels:  verilog, fpga
Basic verilog
Must-have verilog systemverilog modules
Stars: ✭ 247 (-11.79%)
Mutual labels:  verilog, fpga
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-46.79%)
Mutual labels:  verilog, fpga
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-82.86%)
Mutual labels:  fpga, verilog
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (-40.36%)
Mutual labels:  fpga, verilog
FPGA ThreeLevelStorage
【原创,已被编入官方教材】Three-level storage subsystem(SD+DDR2 SDRAM+Cache), based on Nexys4 FPGA board. 同济大学计算机系统结构课程设计,FPGA三级存储子系统。
Stars: ✭ 86 (-69.29%)
Mutual labels:  fpga, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-93.93%)
Mutual labels:  fpga, verilog
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (-88.21%)
Mutual labels:  fpga, verilog
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-92.86%)
Mutual labels:  fpga, verilog
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (-87.86%)
Mutual labels:  fpga, verilog
Openwifi
open-source IEEE 802.11 WiFi baseband FPGA (chip) design
Stars: ✭ 2,257 (+706.07%)
Mutual labels:  verilog, fpga
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-86.79%)
Mutual labels:  fpga, verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-81.79%)
Mutual labels:  fpga, verilog
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (-58.21%)
Mutual labels:  fpga, verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-86.43%)
Mutual labels:  fpga, verilog
pdp6
PDP-6 Emulator
Stars: ✭ 47 (-83.21%)
Mutual labels:  fpga, verilog
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (-81.43%)
Mutual labels:  fpga, verilog
verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
Stars: ✭ 21 (-92.5%)
Mutual labels:  fpga, verilog
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (-70.36%)
Mutual labels:  fpga, verilog
Echomods
Open source ultrasound processing modules and building blocks
Stars: ✭ 200 (-28.57%)
Mutual labels:  fpga, kicad
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (-51.07%)
Mutual labels:  verilog, fpga
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (-43.93%)
Mutual labels:  fpga, verilog
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (-78.93%)
Mutual labels:  fpga, verilog
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (-2.86%)
Mutual labels:  verilog, fpga
blarney
Haskell library for hardware description
Stars: ✭ 81 (-71.07%)
Mutual labels:  fpga, verilog
riscv-contest-2018
RISCV SoftCPU Contest 2018
Stars: ✭ 14 (-95%)
Mutual labels:  fpga
61-120 of 752 similar projects