All Projects → vcd → Similar Projects or Alternatives

149 Open source projects that are alternatives of or similar to vcd

svut
SVUT is a simple framework to create Verilog/SystemVerilog unit tests. Just focus on your tests!
Stars: ✭ 48 (+20%)
Mutual labels:  gtkwave, vcd
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (+15%)
Mutual labels:  vhdl, vcd
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (-32.5%)
Mutual labels:  vhdl, gtkwave
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-57.5%)
Mutual labels:  vhdl
MSXPi
Interface for MSX to Connect and use Raspberry Pi resources
Stars: ✭ 61 (+52.5%)
Mutual labels:  vhdl
vcdvcd
Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.
Stars: ✭ 34 (-15%)
Mutual labels:  vcd
pyVHDLParser
Streaming based VHDL parser.
Stars: ✭ 51 (+27.5%)
Mutual labels:  vhdl
A2i
Stars: ✭ 214 (+435%)
Mutual labels:  vhdl
Cache
Simple implementation of cache using VHDL
Stars: ✭ 17 (-57.5%)
Mutual labels:  vhdl
Fpga displayport
An implementation of DisplayPort protocol for FPGAs
Stars: ✭ 192 (+380%)
Mutual labels:  vhdl
Hardh264
A hardware h264 video encoder written in VHDL. Designed to be synthesized into an FPGA. Initial testing is using Xilinx tools and FPGAs but it is not specific to Xilinx.
Stars: ✭ 155 (+287.5%)
Mutual labels:  vhdl
Learning
@elahe-dastan / @1995parham training and testing repository 📚 🤓
Stars: ✭ 53 (+32.5%)
Mutual labels:  vhdl
yavhdl
Yet Another VHDL tool
Stars: ✭ 29 (-27.5%)
Mutual labels:  vhdl
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+712.5%)
Mutual labels:  vhdl
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-50%)
Mutual labels:  vhdl
Orca
RISC-V by VectorBlox
Stars: ✭ 248 (+520%)
Mutual labels:  vhdl
FPGA-I2C-Minion
A simple I2C minion in VHDL
Stars: ✭ 50 (+25%)
Mutual labels:  vhdl
Bladerf Wiphy
bladeRF-wiphy is an open-source IEEE 802.11 compatible software defined radio VHDL modem
Stars: ✭ 203 (+407.5%)
Mutual labels:  vhdl
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (+27.5%)
Mutual labels:  vhdl
Potato
A simple RISC-V processor for use in FPGA designs.
Stars: ✭ 181 (+352.5%)
Mutual labels:  vhdl
SiaFpgaMiner
VHDL FPGA design of an optimized Blake2b pipeline to mine Siacoin
Stars: ✭ 58 (+45%)
Mutual labels:  vhdl
rust-vcd
Read and write VCD (Value Change Dump) files in Rust
Stars: ✭ 23 (-42.5%)
Mutual labels:  vcd
Vna2
Second version of homemade 30 MHz - 6 GHz VNA
Stars: ✭ 150 (+275%)
Mutual labels:  vhdl
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (-25%)
Mutual labels:  vhdl
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Stars: ✭ 142 (+255%)
Mutual labels:  vhdl
Vhdl lib
Library of VHDL components that are useful in larger designs.
Stars: ✭ 139 (+247.5%)
Mutual labels:  vhdl
VHDLFormatter
VHDL formatter web online written in typescript
Stars: ✭ 44 (+10%)
Mutual labels:  vhdl
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-20%)
Mutual labels:  vhdl
fpga torture
🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-42.5%)
Mutual labels:  vhdl
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (-10%)
Mutual labels:  vhdl
Mega65 Core
MEGA65 FPGA core
Stars: ✭ 137 (+242.5%)
Mutual labels:  vhdl
C64 Video Enhancement
Component video modification for the C64 8-bit computer
Stars: ✭ 136 (+240%)
Mutual labels:  vhdl
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (+47.5%)
Mutual labels:  vhdl
ggplotify
ggplot everything
Stars: ✭ 89 (+122.5%)
Mutual labels:  vcd
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-62.5%)
Mutual labels:  vhdl
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+35%)
Mutual labels:  vhdl
OpenNAS
OpenN@S: Open-source software to NAS automatic VHDL code generation
Stars: ✭ 15 (-62.5%)
Mutual labels:  vhdl
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
Stars: ✭ 244 (+510%)
Mutual labels:  vhdl
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (+40%)
Mutual labels:  vhdl
Awesome Model Quantization
A list of papers, docs, codes about model quantization. This repo is aimed to provide the info for model quantization research, we are continuously improving the project. Welcome to PR the works (papers, repositories) that are missed by the repo.
Stars: ✭ 200 (+400%)
Mutual labels:  vhdl
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-20%)
Mutual labels:  vhdl
Ghdl Yosys Plugin
VHDL synthesis (based on ghdl)
Stars: ✭ 192 (+380%)
Mutual labels:  vhdl
CryptoHDL
A list of VHDL codes implementing cryptographic algorithms
Stars: ✭ 14 (-65%)
Mutual labels:  vhdl
Uvvm
UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/
Stars: ✭ 191 (+377.5%)
Mutual labels:  vhdl
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-10%)
Mutual labels:  vhdl
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (+290%)
Mutual labels:  vhdl
symbolator
HDL symbol generator
Stars: ✭ 123 (+207.5%)
Mutual labels:  vhdl
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+282.5%)
Mutual labels:  vhdl
vhdl-hdmi-out
HDMI Out VHDL code for 7-series Xilinx FPGAs
Stars: ✭ 36 (-10%)
Mutual labels:  vhdl
Fletcher
Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
Stars: ✭ 144 (+260%)
Mutual labels:  vhdl
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-32.5%)
Mutual labels:  vhdl
Osvvm
OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...
Stars: ✭ 140 (+250%)
Mutual labels:  vhdl
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (+40%)
Mutual labels:  gtkwave
mrisc32-a1
A pipelined, in-order, scalar VHDL implementation of the MRISC32 ISA
Stars: ✭ 21 (-47.5%)
Mutual labels:  vhdl
Fmcw3
Two RX-channel 6 GHz FMCW radar design files
Stars: ✭ 126 (+215%)
Mutual labels:  vhdl
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-37.5%)
Mutual labels:  vhdl
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+262.5%)
Mutual labels:  vhdl
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+25%)
Mutual labels:  vhdl
pyarch
🔌 Hardware Abstraction Library in Python
Stars: ✭ 15 (-62.5%)
Mutual labels:  vhdl
noasic
An open-source VHDL library for FPGA design.
Stars: ✭ 27 (-32.5%)
Mutual labels:  vhdl
1-60 of 149 similar projects