svutSVUT is a simple framework to create Verilog/SystemVerilog unit tests. Just focus on your tests!
Stars: ✭ 48 (+20%)
verilog-vcd-parserA parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (+15%)
dockerScripts to build and use docker images including GHDL
Stars: ✭ 27 (-32.5%)
SpinalDevDocker Development Environment for SpinalHDL
Stars: ✭ 17 (-57.5%)
MSXPiInterface for MSX to Connect and use Raspberry Pi resources
Stars: ✭ 61 (+52.5%)
vcdvcdPython Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.
Stars: ✭ 34 (-15%)
pyVHDLParserStreaming based VHDL parser.
Stars: ✭ 51 (+27.5%)
CacheSimple implementation of cache using VHDL
Stars: ✭ 17 (-57.5%)
Fpga displayportAn implementation of DisplayPort protocol for FPGAs
Stars: ✭ 192 (+380%)
Hardh264A hardware h264 video encoder written in VHDL. Designed to be synthesized into an FPGA. Initial testing is using Xilinx tools and FPGAs but it is not specific to Xilinx.
Stars: ✭ 155 (+287.5%)
Learning@elahe-dastan / @1995parham training and testing repository 📚 🤓
Stars: ✭ 53 (+32.5%)
yavhdlYet Another VHDL tool
Stars: ✭ 29 (-27.5%)
vscode-terosHDLVHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+712.5%)
cocotb-busPre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-50%)
OrcaRISC-V by VectorBlox
Stars: ✭ 248 (+520%)
Bladerf WiphybladeRF-wiphy is an open-source IEEE 802.11 compatible software defined radio VHDL modem
Stars: ✭ 203 (+407.5%)
QNICE-FPGAQNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (+27.5%)
PotatoA simple RISC-V processor for use in FPGA designs.
Stars: ✭ 181 (+352.5%)
SiaFpgaMinerVHDL FPGA design of an optimized Blake2b pipeline to mine Siacoin
Stars: ✭ 58 (+45%)
rust-vcdRead and write VCD (Value Change Dump) files in Rust
Stars: ✭ 23 (-42.5%)
Vna2Second version of homemade 30 MHz - 6 GHz VNA
Stars: ✭ 150 (+275%)
BenEaterVHDLVHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (-25%)
Vhdl libLibrary of VHDL components that are useful in larger designs.
Stars: ✭ 139 (+247.5%)
VHDLFormatterVHDL formatter web online written in typescript
Stars: ✭ 44 (+10%)
fpga torture🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-42.5%)
fphdlVHDL-2008 Support Library
Stars: ✭ 36 (-10%)
vim-hdlVim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (+47.5%)
ggplotifyggplot everything
Stars: ✭ 89 (+122.5%)
mathUseful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-62.5%)
fpga-dockerTools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+35%)
OpenNASOpenN@S: Open-source software to NAS automatic VHDL code generation
Stars: ✭ 15 (-62.5%)
Forth CpuA Forth CPU and System on a Chip, based on the J1, written in VHDL
Stars: ✭ 244 (+510%)
JSON-for-VHDLA JSON library implemented in VHDL.
Stars: ✭ 56 (+40%)
Awesome Model QuantizationA list of papers, docs, codes about model quantization. This repo is aimed to provide the info for model quantization research, we are continuously improving the project. Welcome to PR the works (papers, repositories) that are missed by the repo.
Stars: ✭ 200 (+400%)
vboardVirtual development board for HDL design
Stars: ✭ 32 (-20%)
CryptoHDLA list of VHDL codes implementing cryptographic algorithms
Stars: ✭ 14 (-65%)
UvvmUVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/
Stars: ✭ 191 (+377.5%)
SpinalCryptoSpinalHDL - Cryptography libraries
Stars: ✭ 36 (-10%)
DegateOpen source software for chip reverse engineering.
Stars: ✭ 156 (+290%)
symbolatorHDL symbol generator
Stars: ✭ 123 (+207.5%)
TinytpuImplementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+282.5%)
vhdl-hdmi-outHDMI Out VHDL code for 7-series Xilinx FPGAs
Stars: ✭ 36 (-10%)
FletcherFletcher: A framework to integrate FPGA accelerators with Apache Arrow
Stars: ✭ 144 (+260%)
PoC-ExamplesThis repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-32.5%)
OsvvmOSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...
Stars: ✭ 140 (+250%)
hdl-toolsFacilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (+40%)
mrisc32-a1A pipelined, in-order, scalar VHDL implementation of the MRISC32 ISA
Stars: ✭ 21 (-47.5%)
Fmcw3Two RX-channel 6 GHz FMCW radar design files
Stars: ✭ 126 (+215%)
xedaCross EDA Abstraction and Automation
Stars: ✭ 25 (-37.5%)
hwtVHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+262.5%)
getting-startedList of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+25%)
pyarch🔌 Hardware Abstraction Library in Python
Stars: ✭ 15 (-62.5%)
noasicAn open-source VHDL library for FPGA design.
Stars: ✭ 27 (-32.5%)