Top 269 VHDL open source projects

101. Pyramic
A compact array with a large number of microphones and a flexible geometry.
102. CNNIOT
No description, website, or topics provided.
103. OpenROAD-Utilities
Open-sourced utilities for initial flow setup, calibration, and other user functions for OpenROAD project
105. AES-VHDL
VHDL Implementation of AES Algorithm
✭ 35
VHDL
106. FPGA-Oscilloscope
Design, Documentation, Schematic, Board, Code files for the FPGA Oscilloscope project using an Altera Cyclone III FPGA.
107. cosmac
RCA COSMAC CDP1802 functional equivalent CPU core in VHDL
✭ 19
VHDL
108. AtomBusMon
This project is an open-source In-Circuit Emulator for the 6502, 65C02, Z80, 6809 and 6809E 8-bit processors. See:
109. LibreVNA
100kHz to 6GHz 2 port USB based VNA
110. PYNQ softmax
achieve softmax in PYNQ with heterogeneous computing.
111. hostmot2-firmware
HostMot2 FPGA firmware
✭ 15
VHDLtcl
112. VHDL Modules
VHDL Modules
✭ 18
cHTMLVHDL
113. Hands-On-Embedded-Programming-with-CPP-17
Hands-On Embedded Programming with CPP 17, published by Packt
116. r-vex
A reconfigurable and extensible VLIW processor implemented in VHDL
117. mrisc32-a1
A pipelined, in-order, scalar VHDL implementation of the MRISC32 ISA
118. moxie-cores
Moxie-compatible core repository
119. ToslinkCNC
CNC machine control modules with Toslink optical cables
120. fpga design
这是我所开发的两个项目,包括ov5640-ddr3-usb2.0高速图像采集系统以及NOIP1SN1300A-ddr3-sdhc高速地表图像采集及存储系统
121. Frix
IBM PC Compatible SoC for a commercially available FPGA board
123. Novena-RF
A 300 MHz to 3800 MHz RF module for the Novena Open Hardware Computing Platform
124. ipbus-firmware
Firmware that implements a reliable high-performance control link for particle physics electronics, based on the IPbus protocol
125. fpgahdl xilinx
(RETIRED see https://github.com/analogdevicesinc/hdl instead) FPGA interface reference designs for Analog Devices mixed signal IC products
128. noasic
An open-source VHDL library for FPGA design.
129. blinky
Example LED blinking project for your FPGA dev board of choice
130. apis anatolia
"Apis Anatolia" YouTube kanalında yayınlanan VHDL ve FPGA dersleri ile ilgili kodları içermektedir.
132. screen-pong
Pong game in a FPGA.
133. EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
134. fpga cores
No description, website, or topics provided.
✭ 17
VHDLpython
135. fpga-md5-cracker
A 64-stage pipelined MD5 implementation written in verliog. Runs reliably on a DE0-Nano at 100mhz, computing 100 million hashes per second.
136. EI332
SJTU EI332 CPU完整实验代码及报告
137. microzed-custom-ip
Custom IP project for the MicroZed
138. uart-vhdl
An RS232 communication controller implemented in VHDL
✭ 14
VHDL
139. formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
140. karabas-pro
FPGA based retrocomputer with FDD and HDD controllers
141. altera-de2-ann
Artificial Neural Network on Altera DE2
✭ 30
VHDL
145. zybo petalinux video hls
Demonstration of a video processing design for the Digilent Zybo, using Web Camera for input and VGA interface for output.
146. sha256
A simple SHA-256 implementation in VHDL
✭ 21
VHDL
148. AX309
No description, website, or topics provided.
149. gbaHD
An open-source GBA consolizer.
150. myelin-acorn-electron-hardware
Phillip Pearson's retro hardware projects for the Acorn Electron
101-150 of 269 VHDL projects