Top 269 VHDL open source projects

51. SuperAudioBoard
High quality, 24-bit audio codec board for Teensy 3.x
53. pyvivado
Python tools for Vivado Projects
54. FPGA-radio
Software Defined Radio in FPGA uses LVDS IO pins as 1-bit ADC
55. aes128-hdl
A high-throughput VHDL and SystemVerilog implementation of AES-128 including scripts for a full front-end design process.
56. C64 MiSTer
No description, website, or topics provided.
57. Camera-Tracking
Our project is the system that enables a moving camera to track a moving object in real time. We plan on doing this by having a camera mounted to a swivel using two servo motors to allow for the camera’s direction to be controlled. The camera data will be read into the FPGA board and some basic object recognition algorithm will be used to  iden…
58. StickIt
StickIt! board and modules that support the XuLA FPGA board.
61. VHDL-Pong
A Pong game written in VHDL using a Xilinx Spartan 3 board. VGA + PS/2 Keyboard + Sound support.
✭ 20
VHDL
62. Designing-a-Custom-AXI-Slave-Peripheral
A guide to creating custom AXI-lite slave peripherals using the Xilinx Vivado tools
64. Digital-IDE
在vscode上的fpga开发插件
65. hard-cv
A repository of IPs for hardware computer vision (FPGA)
✭ 85
VHDL
66. T13x
An Extended Version of the T0x multithreaded cores, with a custom general purpose parametrized SIMD/MIMD vector coprocessor and support for 3-5 way superscalar execution. The core is pin-to-pin compatible with the RISCY cores from PULP
67. MSX-USB
Schematics, drivers, debug tools, to make USB devices on the MSX a reality
68. QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
69. PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
70. flexray-interceptor
FPGA project to man-in-the-middle attack Flexray
✭ 47
VHDL
71. UHD-Fairwaves
Fairwaves version of the UHD drivers, tweaked to support Fairwaves UmTRX.
72. hdl
Collection of hardware description languages writings and code snippets
74. AppleIISd
SD card based ProFile replacement for IIe
75. ADE9078-3PhaseWattmeter
An Isolated design for a demo board using the Analog Devices ADE9078 3 phase AC wattmeter. Design allows both WYE (STAR) and Delta (TRIANGLE) distributions to be measured along with Blondel and non-Blondel measurement schemes. The project includes a SPI based Arduino style library.
76. FPGA DevKit HX1006A
No description, website, or topics provided.
77. benchmarks
EPFL logic synthesis benchmarks
79. EP994A
My TI-99/4A clone, two versions: FPGA+TMS99105 CPU and FPGA with my CPU core
80. RGBtoHDMI
Bare-metal Raspberry Pi project that provides pixel-perfect sampling of BBC Micro video and conversion to HDMI
81. reference-development-kit
The Myriad-RF Reference Hardware design files and firmware
82. Papilio-Loader
Java and command line apps to load Xilinx Bit files to Papilio FPGA boards.
83. nghdl
This repository contain source code for ngspice and ghdl integration
84. test jpeg
This is a myhdl test environment for the open-cores jpeg_encoder.
86. BeebFpga
No description, website, or topics provided.
87. pyVHDLParser
Streaming based VHDL parser.
88. speccy2010
next iteration of the Speccy2010 firmware
89. stereo-vision-fpga
Real-time binocular stereo vision FPGA system with OV5640 cameras
90. mksocfpga
Hostmot2 FPGA code for SoC/FPGA platforms from Altera and Xilinx
91. NES on FPGA
implemented NES on an FPGA.
92. sancus-core
Minimal OpenMSP430 hardware extensions for isolation and attestation
94. Lattuino IP Core
An Arduino UNO compatible implementation for the iCE40 FPGAs
95. MIPS-processor
MIPS processor designed in VHDL
✭ 41
VHDLtcl
97. salent
A toy x86 disassembler and x86 style toy chip
99. openPOWERLINK systec
openPOWERLINK develop repo of SYS TEC
100. ase
Andy's Workshop Sprite Engine
51-100 of 269 VHDL projects